bugfix
[picodrive.git] / cpu / musashi / m68kops.c
CommitLineData
cc68a136 1/* ======================================================================== */\r
2/* ========================= OPCODE TABLE BUILDER ========================= */\r
3/* ======================================================================== */\r
4\r
5#include "m68kops.h"\r
6\r
7#define NUM_CPU_TYPES 4\r
8\r
9void (*m68ki_instruction_jump_table[0x10000])(void); /* opcode handler jump table */\r
10unsigned char m68ki_cycles[NUM_CPU_TYPES][0x10000]; /* Cycles used by CPU type */\r
11\r
12/* This is used to generate the opcode handler jump table */\r
13typedef struct\r
14{\r
15 void (*opcode_handler)(void); /* handler function */\r
16 unsigned int mask; /* mask on opcode */\r
17 unsigned int match; /* what to match after masking */\r
18 unsigned char cycles[NUM_CPU_TYPES]; /* cycles each cpu type takes */\r
19} opcode_handler_struct;\r
20\r
21\r
22/* Opcode handler table */\r
23static opcode_handler_struct m68k_opcode_handler_table[] =\r
24{\r
25/* function mask match 000 010 020 040 */\r
26 {m68k_op_1010 , 0xf000, 0xa000, { 4, 4, 4, 4}},\r
27 {m68k_op_1111 , 0xf000, 0xf000, { 4, 4, 4, 4}},\r
28 {m68k_op_moveq_32 , 0xf100, 0x7000, { 4, 4, 2, 2}},\r
29 {m68k_op_cpbcc_32 , 0xf180, 0xf080, { 0, 0, 4, 0}},\r
30 {m68k_op_cpgen_32 , 0xf1c0, 0xf000, { 0, 0, 4, 0}},\r
31 {m68k_op_cpscc_32 , 0xf1c0, 0xf040, { 0, 0, 4, 0}},\r
32 {m68k_op_bra_8 , 0xff00, 0x6000, { 10, 10, 10, 10}},\r
33 {m68k_op_bsr_8 , 0xff00, 0x6100, { 18, 18, 7, 7}},\r
34 {m68k_op_bhi_8 , 0xff00, 0x6200, { 10, 10, 6, 6}},\r
35 {m68k_op_bls_8 , 0xff00, 0x6300, { 10, 10, 6, 6}},\r
36 {m68k_op_bcc_8 , 0xff00, 0x6400, { 10, 10, 6, 6}},\r
37 {m68k_op_bcs_8 , 0xff00, 0x6500, { 10, 10, 6, 6}},\r
38 {m68k_op_bne_8 , 0xff00, 0x6600, { 10, 10, 6, 6}},\r
39 {m68k_op_beq_8 , 0xff00, 0x6700, { 10, 10, 6, 6}},\r
40 {m68k_op_bvc_8 , 0xff00, 0x6800, { 10, 10, 6, 6}},\r
41 {m68k_op_bvs_8 , 0xff00, 0x6900, { 10, 10, 6, 6}},\r
42 {m68k_op_bpl_8 , 0xff00, 0x6a00, { 10, 10, 6, 6}},\r
43 {m68k_op_bmi_8 , 0xff00, 0x6b00, { 10, 10, 6, 6}},\r
44 {m68k_op_bge_8 , 0xff00, 0x6c00, { 10, 10, 6, 6}},\r
45 {m68k_op_blt_8 , 0xff00, 0x6d00, { 10, 10, 6, 6}},\r
46 {m68k_op_bgt_8 , 0xff00, 0x6e00, { 10, 10, 6, 6}},\r
47 {m68k_op_ble_8 , 0xff00, 0x6f00, { 10, 10, 6, 6}},\r
48 {m68k_op_btst_32_r_d , 0xf1f8, 0x0100, { 6, 6, 4, 4}},\r
49 {m68k_op_movep_16_er , 0xf1f8, 0x0108, { 16, 16, 12, 12}},\r
50 {m68k_op_btst_8_r_ai , 0xf1f8, 0x0110, { 8, 8, 8, 8}},\r
51 {m68k_op_btst_8_r_pi , 0xf1f8, 0x0118, { 8, 8, 8, 8}},\r
52 {m68k_op_btst_8_r_pd , 0xf1f8, 0x0120, { 10, 10, 9, 9}},\r
53 {m68k_op_btst_8_r_di , 0xf1f8, 0x0128, { 12, 12, 9, 9}},\r
54 {m68k_op_btst_8_r_ix , 0xf1f8, 0x0130, { 14, 14, 11, 11}},\r
55 {m68k_op_bchg_32_r_d , 0xf1f8, 0x0140, { 8, 8, 4, 4}},\r
56 {m68k_op_movep_32_er , 0xf1f8, 0x0148, { 24, 24, 18, 18}},\r
57 {m68k_op_bchg_8_r_ai , 0xf1f8, 0x0150, { 12, 12, 8, 8}},\r
58 {m68k_op_bchg_8_r_pi , 0xf1f8, 0x0158, { 12, 12, 8, 8}},\r
59 {m68k_op_bchg_8_r_pd , 0xf1f8, 0x0160, { 14, 14, 9, 9}},\r
60 {m68k_op_bchg_8_r_di , 0xf1f8, 0x0168, { 16, 16, 9, 9}},\r
61 {m68k_op_bchg_8_r_ix , 0xf1f8, 0x0170, { 18, 18, 11, 11}},\r
62 {m68k_op_bclr_32_r_d , 0xf1f8, 0x0180, { 10, 10, 4, 4}},\r
63 {m68k_op_movep_16_re , 0xf1f8, 0x0188, { 16, 16, 11, 11}},\r
64 {m68k_op_bclr_8_r_ai , 0xf1f8, 0x0190, { 12, 14, 8, 8}},\r
65 {m68k_op_bclr_8_r_pi , 0xf1f8, 0x0198, { 12, 14, 8, 8}},\r
66 {m68k_op_bclr_8_r_pd , 0xf1f8, 0x01a0, { 14, 16, 9, 9}},\r
67 {m68k_op_bclr_8_r_di , 0xf1f8, 0x01a8, { 16, 18, 9, 9}},\r
68 {m68k_op_bclr_8_r_ix , 0xf1f8, 0x01b0, { 18, 20, 11, 11}},\r
69 {m68k_op_bset_32_r_d , 0xf1f8, 0x01c0, { 8, 8, 4, 4}},\r
70 {m68k_op_movep_32_re , 0xf1f8, 0x01c8, { 24, 24, 17, 17}},\r
71 {m68k_op_bset_8_r_ai , 0xf1f8, 0x01d0, { 12, 12, 8, 8}},\r
72 {m68k_op_bset_8_r_pi , 0xf1f8, 0x01d8, { 12, 12, 8, 8}},\r
73 {m68k_op_bset_8_r_pd , 0xf1f8, 0x01e0, { 14, 14, 9, 9}},\r
74 {m68k_op_bset_8_r_di , 0xf1f8, 0x01e8, { 16, 16, 9, 9}},\r
75 {m68k_op_bset_8_r_ix , 0xf1f8, 0x01f0, { 18, 18, 11, 11}},\r
76 {m68k_op_move_8_d_d , 0xf1f8, 0x1000, { 4, 4, 2, 2}},\r
77 {m68k_op_move_8_d_ai , 0xf1f8, 0x1010, { 8, 8, 6, 6}},\r
78 {m68k_op_move_8_d_pi , 0xf1f8, 0x1018, { 8, 8, 6, 6}},\r
79 {m68k_op_move_8_d_pd , 0xf1f8, 0x1020, { 10, 10, 7, 7}},\r
80 {m68k_op_move_8_d_di , 0xf1f8, 0x1028, { 12, 12, 7, 7}},\r
81 {m68k_op_move_8_d_ix , 0xf1f8, 0x1030, { 14, 14, 9, 9}},\r
82 {m68k_op_move_8_ai_d , 0xf1f8, 0x1080, { 8, 8, 4, 4}},\r
83 {m68k_op_move_8_ai_ai , 0xf1f8, 0x1090, { 12, 12, 8, 8}},\r
84 {m68k_op_move_8_ai_pi , 0xf1f8, 0x1098, { 12, 12, 8, 8}},\r
85 {m68k_op_move_8_ai_pd , 0xf1f8, 0x10a0, { 14, 14, 9, 9}},\r
86 {m68k_op_move_8_ai_di , 0xf1f8, 0x10a8, { 16, 16, 9, 9}},\r
87 {m68k_op_move_8_ai_ix , 0xf1f8, 0x10b0, { 18, 18, 11, 11}},\r
88 {m68k_op_move_8_pi_d , 0xf1f8, 0x10c0, { 8, 8, 4, 4}},\r
89 {m68k_op_move_8_pi_ai , 0xf1f8, 0x10d0, { 12, 12, 8, 8}},\r
90 {m68k_op_move_8_pi_pi , 0xf1f8, 0x10d8, { 12, 12, 8, 8}},\r
91 {m68k_op_move_8_pi_pd , 0xf1f8, 0x10e0, { 14, 14, 9, 9}},\r
92 {m68k_op_move_8_pi_di , 0xf1f8, 0x10e8, { 16, 16, 9, 9}},\r
93 {m68k_op_move_8_pi_ix , 0xf1f8, 0x10f0, { 18, 18, 11, 11}},\r
94 {m68k_op_move_8_pd_d , 0xf1f8, 0x1100, { 8, 8, 5, 5}},\r
95 {m68k_op_move_8_pd_ai , 0xf1f8, 0x1110, { 12, 12, 9, 9}},\r
96 {m68k_op_move_8_pd_pi , 0xf1f8, 0x1118, { 12, 12, 9, 9}},\r
97 {m68k_op_move_8_pd_pd , 0xf1f8, 0x1120, { 14, 14, 10, 10}},\r
98 {m68k_op_move_8_pd_di , 0xf1f8, 0x1128, { 16, 16, 10, 10}},\r
99 {m68k_op_move_8_pd_ix , 0xf1f8, 0x1130, { 18, 18, 12, 12}},\r
100 {m68k_op_move_8_di_d , 0xf1f8, 0x1140, { 12, 12, 5, 5}},\r
101 {m68k_op_move_8_di_ai , 0xf1f8, 0x1150, { 16, 16, 9, 9}},\r
102 {m68k_op_move_8_di_pi , 0xf1f8, 0x1158, { 16, 16, 9, 9}},\r
103 {m68k_op_move_8_di_pd , 0xf1f8, 0x1160, { 18, 18, 10, 10}},\r
104 {m68k_op_move_8_di_di , 0xf1f8, 0x1168, { 20, 20, 10, 10}},\r
105 {m68k_op_move_8_di_ix , 0xf1f8, 0x1170, { 22, 22, 12, 12}},\r
106 {m68k_op_move_8_ix_d , 0xf1f8, 0x1180, { 14, 14, 7, 7}},\r
107 {m68k_op_move_8_ix_ai , 0xf1f8, 0x1190, { 18, 18, 11, 11}},\r
108 {m68k_op_move_8_ix_pi , 0xf1f8, 0x1198, { 18, 18, 11, 11}},\r
109 {m68k_op_move_8_ix_pd , 0xf1f8, 0x11a0, { 20, 20, 12, 12}},\r
110 {m68k_op_move_8_ix_di , 0xf1f8, 0x11a8, { 22, 22, 12, 12}},\r
111 {m68k_op_move_8_ix_ix , 0xf1f8, 0x11b0, { 24, 24, 14, 14}},\r
112 {m68k_op_move_32_d_d , 0xf1f8, 0x2000, { 4, 4, 2, 2}},\r
113 {m68k_op_move_32_d_a , 0xf1f8, 0x2008, { 4, 4, 2, 2}},\r
114 {m68k_op_move_32_d_ai , 0xf1f8, 0x2010, { 12, 12, 6, 6}},\r
115 {m68k_op_move_32_d_pi , 0xf1f8, 0x2018, { 12, 12, 6, 6}},\r
116 {m68k_op_move_32_d_pd , 0xf1f8, 0x2020, { 14, 14, 7, 7}},\r
117 {m68k_op_move_32_d_di , 0xf1f8, 0x2028, { 16, 16, 7, 7}},\r
118 {m68k_op_move_32_d_ix , 0xf1f8, 0x2030, { 18, 18, 9, 9}},\r
119 {m68k_op_movea_32_d , 0xf1f8, 0x2040, { 4, 4, 2, 2}},\r
120 {m68k_op_movea_32_a , 0xf1f8, 0x2048, { 4, 4, 2, 2}},\r
121 {m68k_op_movea_32_ai , 0xf1f8, 0x2050, { 12, 12, 6, 6}},\r
122 {m68k_op_movea_32_pi , 0xf1f8, 0x2058, { 12, 12, 6, 6}},\r
123 {m68k_op_movea_32_pd , 0xf1f8, 0x2060, { 14, 14, 7, 7}},\r
124 {m68k_op_movea_32_di , 0xf1f8, 0x2068, { 16, 16, 7, 7}},\r
125 {m68k_op_movea_32_ix , 0xf1f8, 0x2070, { 18, 18, 9, 9}},\r
126 {m68k_op_move_32_ai_d , 0xf1f8, 0x2080, { 12, 12, 4, 4}},\r
127 {m68k_op_move_32_ai_a , 0xf1f8, 0x2088, { 12, 12, 4, 4}},\r
128 {m68k_op_move_32_ai_ai , 0xf1f8, 0x2090, { 20, 20, 8, 8}},\r
129 {m68k_op_move_32_ai_pi , 0xf1f8, 0x2098, { 20, 20, 8, 8}},\r
130 {m68k_op_move_32_ai_pd , 0xf1f8, 0x20a0, { 22, 22, 9, 9}},\r
131 {m68k_op_move_32_ai_di , 0xf1f8, 0x20a8, { 24, 24, 9, 9}},\r
132 {m68k_op_move_32_ai_ix , 0xf1f8, 0x20b0, { 26, 26, 11, 11}},\r
133 {m68k_op_move_32_pi_d , 0xf1f8, 0x20c0, { 12, 12, 4, 4}},\r
134 {m68k_op_move_32_pi_a , 0xf1f8, 0x20c8, { 12, 12, 4, 4}},\r
135 {m68k_op_move_32_pi_ai , 0xf1f8, 0x20d0, { 20, 20, 8, 8}},\r
136 {m68k_op_move_32_pi_pi , 0xf1f8, 0x20d8, { 20, 20, 8, 8}},\r
137 {m68k_op_move_32_pi_pd , 0xf1f8, 0x20e0, { 22, 22, 9, 9}},\r
138 {m68k_op_move_32_pi_di , 0xf1f8, 0x20e8, { 24, 24, 9, 9}},\r
139 {m68k_op_move_32_pi_ix , 0xf1f8, 0x20f0, { 26, 26, 11, 11}},\r
140 {m68k_op_move_32_pd_d , 0xf1f8, 0x2100, { 12, 14, 5, 5}},\r
141 {m68k_op_move_32_pd_a , 0xf1f8, 0x2108, { 12, 14, 5, 5}},\r
142 {m68k_op_move_32_pd_ai , 0xf1f8, 0x2110, { 20, 22, 9, 9}},\r
143 {m68k_op_move_32_pd_pi , 0xf1f8, 0x2118, { 20, 22, 9, 9}},\r
144 {m68k_op_move_32_pd_pd , 0xf1f8, 0x2120, { 22, 24, 10, 10}},\r
145 {m68k_op_move_32_pd_di , 0xf1f8, 0x2128, { 24, 26, 10, 10}},\r
146 {m68k_op_move_32_pd_ix , 0xf1f8, 0x2130, { 26, 28, 12, 12}},\r
147 {m68k_op_move_32_di_d , 0xf1f8, 0x2140, { 16, 16, 5, 5}},\r
148 {m68k_op_move_32_di_a , 0xf1f8, 0x2148, { 16, 16, 5, 5}},\r
149 {m68k_op_move_32_di_ai , 0xf1f8, 0x2150, { 24, 24, 9, 9}},\r
150 {m68k_op_move_32_di_pi , 0xf1f8, 0x2158, { 24, 24, 9, 9}},\r
151 {m68k_op_move_32_di_pd , 0xf1f8, 0x2160, { 26, 26, 10, 10}},\r
152 {m68k_op_move_32_di_di , 0xf1f8, 0x2168, { 28, 28, 10, 10}},\r
153 {m68k_op_move_32_di_ix , 0xf1f8, 0x2170, { 30, 30, 12, 12}},\r
154 {m68k_op_move_32_ix_d , 0xf1f8, 0x2180, { 18, 18, 7, 7}},\r
155 {m68k_op_move_32_ix_a , 0xf1f8, 0x2188, { 18, 18, 7, 7}},\r
156 {m68k_op_move_32_ix_ai , 0xf1f8, 0x2190, { 26, 26, 11, 11}},\r
157 {m68k_op_move_32_ix_pi , 0xf1f8, 0x2198, { 26, 26, 11, 11}},\r
158 {m68k_op_move_32_ix_pd , 0xf1f8, 0x21a0, { 28, 28, 12, 12}},\r
159 {m68k_op_move_32_ix_di , 0xf1f8, 0x21a8, { 30, 30, 12, 12}},\r
160 {m68k_op_move_32_ix_ix , 0xf1f8, 0x21b0, { 32, 32, 14, 14}},\r
161 {m68k_op_move_16_d_d , 0xf1f8, 0x3000, { 4, 4, 2, 2}},\r
162 {m68k_op_move_16_d_a , 0xf1f8, 0x3008, { 4, 4, 2, 2}},\r
163 {m68k_op_move_16_d_ai , 0xf1f8, 0x3010, { 8, 8, 6, 6}},\r
164 {m68k_op_move_16_d_pi , 0xf1f8, 0x3018, { 8, 8, 6, 6}},\r
165 {m68k_op_move_16_d_pd , 0xf1f8, 0x3020, { 10, 10, 7, 7}},\r
166 {m68k_op_move_16_d_di , 0xf1f8, 0x3028, { 12, 12, 7, 7}},\r
167 {m68k_op_move_16_d_ix , 0xf1f8, 0x3030, { 14, 14, 9, 9}},\r
168 {m68k_op_movea_16_d , 0xf1f8, 0x3040, { 4, 4, 2, 2}},\r
169 {m68k_op_movea_16_a , 0xf1f8, 0x3048, { 4, 4, 2, 2}},\r
170 {m68k_op_movea_16_ai , 0xf1f8, 0x3050, { 8, 8, 6, 6}},\r
171 {m68k_op_movea_16_pi , 0xf1f8, 0x3058, { 8, 8, 6, 6}},\r
172 {m68k_op_movea_16_pd , 0xf1f8, 0x3060, { 10, 10, 7, 7}},\r
173 {m68k_op_movea_16_di , 0xf1f8, 0x3068, { 12, 12, 7, 7}},\r
174 {m68k_op_movea_16_ix , 0xf1f8, 0x3070, { 14, 14, 9, 9}},\r
175 {m68k_op_move_16_ai_d , 0xf1f8, 0x3080, { 8, 8, 4, 4}},\r
176 {m68k_op_move_16_ai_a , 0xf1f8, 0x3088, { 8, 8, 4, 4}},\r
177 {m68k_op_move_16_ai_ai , 0xf1f8, 0x3090, { 12, 12, 8, 8}},\r
178 {m68k_op_move_16_ai_pi , 0xf1f8, 0x3098, { 12, 12, 8, 8}},\r
179 {m68k_op_move_16_ai_pd , 0xf1f8, 0x30a0, { 14, 14, 9, 9}},\r
180 {m68k_op_move_16_ai_di , 0xf1f8, 0x30a8, { 16, 16, 9, 9}},\r
181 {m68k_op_move_16_ai_ix , 0xf1f8, 0x30b0, { 18, 18, 11, 11}},\r
182 {m68k_op_move_16_pi_d , 0xf1f8, 0x30c0, { 8, 8, 4, 4}},\r
183 {m68k_op_move_16_pi_a , 0xf1f8, 0x30c8, { 8, 8, 4, 4}},\r
184 {m68k_op_move_16_pi_ai , 0xf1f8, 0x30d0, { 12, 12, 8, 8}},\r
185 {m68k_op_move_16_pi_pi , 0xf1f8, 0x30d8, { 12, 12, 8, 8}},\r
186 {m68k_op_move_16_pi_pd , 0xf1f8, 0x30e0, { 14, 14, 9, 9}},\r
187 {m68k_op_move_16_pi_di , 0xf1f8, 0x30e8, { 16, 16, 9, 9}},\r
188 {m68k_op_move_16_pi_ix , 0xf1f8, 0x30f0, { 18, 18, 11, 11}},\r
189 {m68k_op_move_16_pd_d , 0xf1f8, 0x3100, { 8, 8, 5, 5}},\r
190 {m68k_op_move_16_pd_a , 0xf1f8, 0x3108, { 8, 8, 5, 5}},\r
191 {m68k_op_move_16_pd_ai , 0xf1f8, 0x3110, { 12, 12, 9, 9}},\r
192 {m68k_op_move_16_pd_pi , 0xf1f8, 0x3118, { 12, 12, 9, 9}},\r
193 {m68k_op_move_16_pd_pd , 0xf1f8, 0x3120, { 14, 14, 10, 10}},\r
194 {m68k_op_move_16_pd_di , 0xf1f8, 0x3128, { 16, 16, 10, 10}},\r
195 {m68k_op_move_16_pd_ix , 0xf1f8, 0x3130, { 18, 18, 12, 12}},\r
196 {m68k_op_move_16_di_d , 0xf1f8, 0x3140, { 12, 12, 5, 5}},\r
197 {m68k_op_move_16_di_a , 0xf1f8, 0x3148, { 12, 12, 5, 5}},\r
198 {m68k_op_move_16_di_ai , 0xf1f8, 0x3150, { 16, 16, 9, 9}},\r
199 {m68k_op_move_16_di_pi , 0xf1f8, 0x3158, { 16, 16, 9, 9}},\r
200 {m68k_op_move_16_di_pd , 0xf1f8, 0x3160, { 18, 18, 10, 10}},\r
201 {m68k_op_move_16_di_di , 0xf1f8, 0x3168, { 20, 20, 10, 10}},\r
202 {m68k_op_move_16_di_ix , 0xf1f8, 0x3170, { 22, 22, 12, 12}},\r
203 {m68k_op_move_16_ix_d , 0xf1f8, 0x3180, { 14, 14, 7, 7}},\r
204 {m68k_op_move_16_ix_a , 0xf1f8, 0x3188, { 14, 14, 7, 7}},\r
205 {m68k_op_move_16_ix_ai , 0xf1f8, 0x3190, { 18, 18, 11, 11}},\r
206 {m68k_op_move_16_ix_pi , 0xf1f8, 0x3198, { 18, 18, 11, 11}},\r
207 {m68k_op_move_16_ix_pd , 0xf1f8, 0x31a0, { 20, 20, 12, 12}},\r
208 {m68k_op_move_16_ix_di , 0xf1f8, 0x31a8, { 22, 22, 12, 12}},\r
209 {m68k_op_move_16_ix_ix , 0xf1f8, 0x31b0, { 24, 24, 14, 14}},\r
210 {m68k_op_chk_32_d , 0xf1f8, 0x4100, { 0, 0, 8, 8}},\r
211 {m68k_op_chk_32_ai , 0xf1f8, 0x4110, { 0, 0, 12, 12}},\r
212 {m68k_op_chk_32_pi , 0xf1f8, 0x4118, { 0, 0, 12, 12}},\r
213 {m68k_op_chk_32_pd , 0xf1f8, 0x4120, { 0, 0, 13, 13}},\r
214 {m68k_op_chk_32_di , 0xf1f8, 0x4128, { 0, 0, 13, 13}},\r
215 {m68k_op_chk_32_ix , 0xf1f8, 0x4130, { 0, 0, 15, 15}},\r
216 {m68k_op_chk_16_d , 0xf1f8, 0x4180, { 10, 8, 8, 8}},\r
217 {m68k_op_chk_16_ai , 0xf1f8, 0x4190, { 14, 12, 12, 12}},\r
218 {m68k_op_chk_16_pi , 0xf1f8, 0x4198, { 14, 12, 12, 12}},\r
219 {m68k_op_chk_16_pd , 0xf1f8, 0x41a0, { 16, 14, 13, 13}},\r
220 {m68k_op_chk_16_di , 0xf1f8, 0x41a8, { 18, 16, 13, 13}},\r
221 {m68k_op_chk_16_ix , 0xf1f8, 0x41b0, { 20, 18, 15, 15}},\r
222 {m68k_op_lea_32_ai , 0xf1f8, 0x41d0, { 4, 4, 6, 6}},\r
223 {m68k_op_lea_32_di , 0xf1f8, 0x41e8, { 8, 8, 7, 7}},\r
224 {m68k_op_lea_32_ix , 0xf1f8, 0x41f0, { 12, 12, 9, 9}},\r
225 {m68k_op_addq_8_d , 0xf1f8, 0x5000, { 4, 4, 2, 2}},\r
226 {m68k_op_addq_8_ai , 0xf1f8, 0x5010, { 12, 12, 8, 8}},\r
227 {m68k_op_addq_8_pi , 0xf1f8, 0x5018, { 12, 12, 8, 8}},\r
228 {m68k_op_addq_8_pd , 0xf1f8, 0x5020, { 14, 14, 9, 9}},\r
229 {m68k_op_addq_8_di , 0xf1f8, 0x5028, { 16, 16, 9, 9}},\r
230 {m68k_op_addq_8_ix , 0xf1f8, 0x5030, { 18, 18, 11, 11}},\r
231 {m68k_op_addq_16_d , 0xf1f8, 0x5040, { 4, 4, 2, 2}},\r
232 {m68k_op_addq_16_a , 0xf1f8, 0x5048, { 4, 4, 2, 2}},\r
233 {m68k_op_addq_16_ai , 0xf1f8, 0x5050, { 12, 12, 8, 8}},\r
234 {m68k_op_addq_16_pi , 0xf1f8, 0x5058, { 12, 12, 8, 8}},\r
235 {m68k_op_addq_16_pd , 0xf1f8, 0x5060, { 14, 14, 9, 9}},\r
236 {m68k_op_addq_16_di , 0xf1f8, 0x5068, { 16, 16, 9, 9}},\r
237 {m68k_op_addq_16_ix , 0xf1f8, 0x5070, { 18, 18, 11, 11}},\r
238 {m68k_op_addq_32_d , 0xf1f8, 0x5080, { 8, 8, 2, 2}},\r
239 {m68k_op_addq_32_a , 0xf1f8, 0x5088, { 8, 8, 2, 2}},\r
240 {m68k_op_addq_32_ai , 0xf1f8, 0x5090, { 20, 20, 8, 8}},\r
241 {m68k_op_addq_32_pi , 0xf1f8, 0x5098, { 20, 20, 8, 8}},\r
242 {m68k_op_addq_32_pd , 0xf1f8, 0x50a0, { 22, 22, 9, 9}},\r
243 {m68k_op_addq_32_di , 0xf1f8, 0x50a8, { 24, 24, 9, 9}},\r
244 {m68k_op_addq_32_ix , 0xf1f8, 0x50b0, { 26, 26, 11, 11}},\r
245 {m68k_op_subq_8_d , 0xf1f8, 0x5100, { 4, 4, 2, 2}},\r
246 {m68k_op_subq_8_ai , 0xf1f8, 0x5110, { 12, 12, 8, 8}},\r
247 {m68k_op_subq_8_pi , 0xf1f8, 0x5118, { 12, 12, 8, 8}},\r
248 {m68k_op_subq_8_pd , 0xf1f8, 0x5120, { 14, 14, 9, 9}},\r
249 {m68k_op_subq_8_di , 0xf1f8, 0x5128, { 16, 16, 9, 9}},\r
250 {m68k_op_subq_8_ix , 0xf1f8, 0x5130, { 18, 18, 11, 11}},\r
251 {m68k_op_subq_16_d , 0xf1f8, 0x5140, { 4, 4, 2, 2}},\r
252 {m68k_op_subq_16_a , 0xf1f8, 0x5148, { 8, 4, 2, 2}},\r
253 {m68k_op_subq_16_ai , 0xf1f8, 0x5150, { 12, 12, 8, 8}},\r
254 {m68k_op_subq_16_pi , 0xf1f8, 0x5158, { 12, 12, 8, 8}},\r
255 {m68k_op_subq_16_pd , 0xf1f8, 0x5160, { 14, 14, 9, 9}},\r
256 {m68k_op_subq_16_di , 0xf1f8, 0x5168, { 16, 16, 9, 9}},\r
257 {m68k_op_subq_16_ix , 0xf1f8, 0x5170, { 18, 18, 11, 11}},\r
258 {m68k_op_subq_32_d , 0xf1f8, 0x5180, { 8, 8, 2, 2}},\r
259 {m68k_op_subq_32_a , 0xf1f8, 0x5188, { 8, 8, 2, 2}},\r
260 {m68k_op_subq_32_ai , 0xf1f8, 0x5190, { 20, 20, 8, 8}},\r
261 {m68k_op_subq_32_pi , 0xf1f8, 0x5198, { 20, 20, 8, 8}},\r
262 {m68k_op_subq_32_pd , 0xf1f8, 0x51a0, { 22, 22, 9, 9}},\r
263 {m68k_op_subq_32_di , 0xf1f8, 0x51a8, { 24, 24, 9, 9}},\r
264 {m68k_op_subq_32_ix , 0xf1f8, 0x51b0, { 26, 26, 11, 11}},\r
265 {m68k_op_or_8_er_d , 0xf1f8, 0x8000, { 4, 4, 2, 2}},\r
266 {m68k_op_or_8_er_ai , 0xf1f8, 0x8010, { 8, 8, 6, 6}},\r
267 {m68k_op_or_8_er_pi , 0xf1f8, 0x8018, { 8, 8, 6, 6}},\r
268 {m68k_op_or_8_er_pd , 0xf1f8, 0x8020, { 10, 10, 7, 7}},\r
269 {m68k_op_or_8_er_di , 0xf1f8, 0x8028, { 12, 12, 7, 7}},\r
270 {m68k_op_or_8_er_ix , 0xf1f8, 0x8030, { 14, 14, 9, 9}},\r
271 {m68k_op_or_16_er_d , 0xf1f8, 0x8040, { 4, 4, 2, 2}},\r
272 {m68k_op_or_16_er_ai , 0xf1f8, 0x8050, { 8, 8, 6, 6}},\r
273 {m68k_op_or_16_er_pi , 0xf1f8, 0x8058, { 8, 8, 6, 6}},\r
274 {m68k_op_or_16_er_pd , 0xf1f8, 0x8060, { 10, 10, 7, 7}},\r
275 {m68k_op_or_16_er_di , 0xf1f8, 0x8068, { 12, 12, 7, 7}},\r
276 {m68k_op_or_16_er_ix , 0xf1f8, 0x8070, { 14, 14, 9, 9}},\r
277 {m68k_op_or_32_er_d , 0xf1f8, 0x8080, { 8, 6, 2, 2}},\r
278 {m68k_op_or_32_er_ai , 0xf1f8, 0x8090, { 14, 14, 6, 6}},\r
279 {m68k_op_or_32_er_pi , 0xf1f8, 0x8098, { 14, 14, 6, 6}},\r
280 {m68k_op_or_32_er_pd , 0xf1f8, 0x80a0, { 16, 16, 7, 7}},\r
281 {m68k_op_or_32_er_di , 0xf1f8, 0x80a8, { 18, 18, 7, 7}},\r
282 {m68k_op_or_32_er_ix , 0xf1f8, 0x80b0, { 20, 20, 9, 9}},\r
283 {m68k_op_divu_16_d , 0xf1f8, 0x80c0, {140, 108, 44, 44}},\r
284 {m68k_op_divu_16_ai , 0xf1f8, 0x80d0, {144, 112, 48, 48}},\r
285 {m68k_op_divu_16_pi , 0xf1f8, 0x80d8, {144, 112, 48, 48}},\r
286 {m68k_op_divu_16_pd , 0xf1f8, 0x80e0, {146, 114, 49, 49}},\r
287 {m68k_op_divu_16_di , 0xf1f8, 0x80e8, {148, 116, 49, 49}},\r
288 {m68k_op_divu_16_ix , 0xf1f8, 0x80f0, {150, 118, 51, 51}},\r
289 {m68k_op_sbcd_8_rr , 0xf1f8, 0x8100, { 6, 6, 4, 4}},\r
290 {m68k_op_sbcd_8_mm , 0xf1f8, 0x8108, { 18, 18, 16, 16}},\r
291 {m68k_op_or_8_re_ai , 0xf1f8, 0x8110, { 12, 12, 8, 8}},\r
292 {m68k_op_or_8_re_pi , 0xf1f8, 0x8118, { 12, 12, 8, 8}},\r
293 {m68k_op_or_8_re_pd , 0xf1f8, 0x8120, { 14, 14, 9, 9}},\r
294 {m68k_op_or_8_re_di , 0xf1f8, 0x8128, { 16, 16, 9, 9}},\r
295 {m68k_op_or_8_re_ix , 0xf1f8, 0x8130, { 18, 18, 11, 11}},\r
296 {m68k_op_pack_16_rr , 0xf1f8, 0x8140, { 0, 0, 6, 6}},\r
297 {m68k_op_pack_16_mm , 0xf1f8, 0x8148, { 0, 0, 13, 13}},\r
298 {m68k_op_or_16_re_ai , 0xf1f8, 0x8150, { 12, 12, 8, 8}},\r
299 {m68k_op_or_16_re_pi , 0xf1f8, 0x8158, { 12, 12, 8, 8}},\r
300 {m68k_op_or_16_re_pd , 0xf1f8, 0x8160, { 14, 14, 9, 9}},\r
301 {m68k_op_or_16_re_di , 0xf1f8, 0x8168, { 16, 16, 9, 9}},\r
302 {m68k_op_or_16_re_ix , 0xf1f8, 0x8170, { 18, 18, 11, 11}},\r
303 {m68k_op_unpk_16_rr , 0xf1f8, 0x8180, { 0, 0, 8, 8}},\r
304 {m68k_op_unpk_16_mm , 0xf1f8, 0x8188, { 0, 0, 13, 13}},\r
305 {m68k_op_or_32_re_ai , 0xf1f8, 0x8190, { 20, 20, 8, 8}},\r
306 {m68k_op_or_32_re_pi , 0xf1f8, 0x8198, { 20, 20, 8, 8}},\r
307 {m68k_op_or_32_re_pd , 0xf1f8, 0x81a0, { 22, 22, 9, 9}},\r
308 {m68k_op_or_32_re_di , 0xf1f8, 0x81a8, { 24, 24, 9, 9}},\r
309 {m68k_op_or_32_re_ix , 0xf1f8, 0x81b0, { 26, 26, 11, 11}},\r
310 {m68k_op_divs_16_d , 0xf1f8, 0x81c0, {158, 122, 56, 56}},\r
311 {m68k_op_divs_16_ai , 0xf1f8, 0x81d0, {162, 126, 60, 60}},\r
312 {m68k_op_divs_16_pi , 0xf1f8, 0x81d8, {162, 126, 60, 60}},\r
313 {m68k_op_divs_16_pd , 0xf1f8, 0x81e0, {164, 128, 61, 61}},\r
314 {m68k_op_divs_16_di , 0xf1f8, 0x81e8, {166, 130, 61, 61}},\r
315 {m68k_op_divs_16_ix , 0xf1f8, 0x81f0, {168, 132, 63, 63}},\r
316 {m68k_op_sub_8_er_d , 0xf1f8, 0x9000, { 4, 4, 2, 2}},\r
317 {m68k_op_sub_8_er_ai , 0xf1f8, 0x9010, { 8, 8, 6, 6}},\r
318 {m68k_op_sub_8_er_pi , 0xf1f8, 0x9018, { 8, 8, 6, 6}},\r
319 {m68k_op_sub_8_er_pd , 0xf1f8, 0x9020, { 10, 10, 7, 7}},\r
320 {m68k_op_sub_8_er_di , 0xf1f8, 0x9028, { 12, 12, 7, 7}},\r
321 {m68k_op_sub_8_er_ix , 0xf1f8, 0x9030, { 14, 14, 9, 9}},\r
322 {m68k_op_sub_16_er_d , 0xf1f8, 0x9040, { 4, 4, 2, 2}},\r
323 {m68k_op_sub_16_er_a , 0xf1f8, 0x9048, { 4, 4, 2, 2}},\r
324 {m68k_op_sub_16_er_ai , 0xf1f8, 0x9050, { 8, 8, 6, 6}},\r
325 {m68k_op_sub_16_er_pi , 0xf1f8, 0x9058, { 8, 8, 6, 6}},\r
326 {m68k_op_sub_16_er_pd , 0xf1f8, 0x9060, { 10, 10, 7, 7}},\r
327 {m68k_op_sub_16_er_di , 0xf1f8, 0x9068, { 12, 12, 7, 7}},\r
328 {m68k_op_sub_16_er_ix , 0xf1f8, 0x9070, { 14, 14, 9, 9}},\r
329 {m68k_op_sub_32_er_d , 0xf1f8, 0x9080, { 8, 6, 2, 2}},\r
330 {m68k_op_sub_32_er_a , 0xf1f8, 0x9088, { 8, 6, 2, 2}},\r
331 {m68k_op_sub_32_er_ai , 0xf1f8, 0x9090, { 14, 14, 6, 6}},\r
332 {m68k_op_sub_32_er_pi , 0xf1f8, 0x9098, { 14, 14, 6, 6}},\r
333 {m68k_op_sub_32_er_pd , 0xf1f8, 0x90a0, { 16, 16, 7, 7}},\r
334 {m68k_op_sub_32_er_di , 0xf1f8, 0x90a8, { 18, 18, 7, 7}},\r
335 {m68k_op_sub_32_er_ix , 0xf1f8, 0x90b0, { 20, 20, 9, 9}},\r
336 {m68k_op_suba_16_d , 0xf1f8, 0x90c0, { 8, 8, 2, 2}},\r
337 {m68k_op_suba_16_a , 0xf1f8, 0x90c8, { 8, 8, 2, 2}},\r
338 {m68k_op_suba_16_ai , 0xf1f8, 0x90d0, { 12, 12, 6, 6}},\r
339 {m68k_op_suba_16_pi , 0xf1f8, 0x90d8, { 12, 12, 6, 6}},\r
340 {m68k_op_suba_16_pd , 0xf1f8, 0x90e0, { 14, 14, 7, 7}},\r
341 {m68k_op_suba_16_di , 0xf1f8, 0x90e8, { 16, 16, 7, 7}},\r
342 {m68k_op_suba_16_ix , 0xf1f8, 0x90f0, { 18, 18, 9, 9}},\r
343 {m68k_op_subx_8_rr , 0xf1f8, 0x9100, { 4, 4, 2, 2}},\r
344 {m68k_op_subx_8_mm , 0xf1f8, 0x9108, { 18, 18, 12, 12}},\r
345 {m68k_op_sub_8_re_ai , 0xf1f8, 0x9110, { 12, 12, 8, 8}},\r
346 {m68k_op_sub_8_re_pi , 0xf1f8, 0x9118, { 12, 12, 8, 8}},\r
347 {m68k_op_sub_8_re_pd , 0xf1f8, 0x9120, { 14, 14, 9, 9}},\r
348 {m68k_op_sub_8_re_di , 0xf1f8, 0x9128, { 16, 16, 9, 9}},\r
349 {m68k_op_sub_8_re_ix , 0xf1f8, 0x9130, { 18, 18, 11, 11}},\r
350 {m68k_op_subx_16_rr , 0xf1f8, 0x9140, { 4, 4, 2, 2}},\r
351 {m68k_op_subx_16_mm , 0xf1f8, 0x9148, { 18, 18, 12, 12}},\r
352 {m68k_op_sub_16_re_ai , 0xf1f8, 0x9150, { 12, 12, 8, 8}},\r
353 {m68k_op_sub_16_re_pi , 0xf1f8, 0x9158, { 12, 12, 8, 8}},\r
354 {m68k_op_sub_16_re_pd , 0xf1f8, 0x9160, { 14, 14, 9, 9}},\r
355 {m68k_op_sub_16_re_di , 0xf1f8, 0x9168, { 16, 16, 9, 9}},\r
356 {m68k_op_sub_16_re_ix , 0xf1f8, 0x9170, { 18, 18, 11, 11}},\r
357 {m68k_op_subx_32_rr , 0xf1f8, 0x9180, { 8, 6, 2, 2}},\r
358 {m68k_op_subx_32_mm , 0xf1f8, 0x9188, { 30, 30, 12, 12}},\r
359 {m68k_op_sub_32_re_ai , 0xf1f8, 0x9190, { 20, 20, 8, 8}},\r
360 {m68k_op_sub_32_re_pi , 0xf1f8, 0x9198, { 20, 20, 8, 8}},\r
361 {m68k_op_sub_32_re_pd , 0xf1f8, 0x91a0, { 22, 22, 9, 9}},\r
362 {m68k_op_sub_32_re_di , 0xf1f8, 0x91a8, { 24, 24, 9, 9}},\r
363 {m68k_op_sub_32_re_ix , 0xf1f8, 0x91b0, { 26, 26, 11, 11}},\r
364 {m68k_op_suba_32_d , 0xf1f8, 0x91c0, { 8, 6, 2, 2}},\r
365 {m68k_op_suba_32_a , 0xf1f8, 0x91c8, { 8, 6, 2, 2}},\r
366 {m68k_op_suba_32_ai , 0xf1f8, 0x91d0, { 14, 14, 6, 6}},\r
367 {m68k_op_suba_32_pi , 0xf1f8, 0x91d8, { 14, 14, 6, 6}},\r
368 {m68k_op_suba_32_pd , 0xf1f8, 0x91e0, { 16, 16, 7, 7}},\r
369 {m68k_op_suba_32_di , 0xf1f8, 0x91e8, { 18, 18, 7, 7}},\r
370 {m68k_op_suba_32_ix , 0xf1f8, 0x91f0, { 20, 20, 9, 9}},\r
371 {m68k_op_cmp_8_d , 0xf1f8, 0xb000, { 4, 4, 2, 2}},\r
372 {m68k_op_cmp_8_ai , 0xf1f8, 0xb010, { 8, 8, 6, 6}},\r
373 {m68k_op_cmp_8_pi , 0xf1f8, 0xb018, { 8, 8, 6, 6}},\r
374 {m68k_op_cmp_8_pd , 0xf1f8, 0xb020, { 10, 10, 7, 7}},\r
375 {m68k_op_cmp_8_di , 0xf1f8, 0xb028, { 12, 12, 7, 7}},\r
376 {m68k_op_cmp_8_ix , 0xf1f8, 0xb030, { 14, 14, 9, 9}},\r
377 {m68k_op_cmp_16_d , 0xf1f8, 0xb040, { 4, 4, 2, 2}},\r
378 {m68k_op_cmp_16_a , 0xf1f8, 0xb048, { 4, 4, 2, 2}},\r
379 {m68k_op_cmp_16_ai , 0xf1f8, 0xb050, { 8, 8, 6, 6}},\r
380 {m68k_op_cmp_16_pi , 0xf1f8, 0xb058, { 8, 8, 6, 6}},\r
381 {m68k_op_cmp_16_pd , 0xf1f8, 0xb060, { 10, 10, 7, 7}},\r
382 {m68k_op_cmp_16_di , 0xf1f8, 0xb068, { 12, 12, 7, 7}},\r
383 {m68k_op_cmp_16_ix , 0xf1f8, 0xb070, { 14, 14, 9, 9}},\r
384 {m68k_op_cmp_32_d , 0xf1f8, 0xb080, { 6, 6, 2, 2}},\r
385 {m68k_op_cmp_32_a , 0xf1f8, 0xb088, { 6, 6, 2, 2}},\r
386 {m68k_op_cmp_32_ai , 0xf1f8, 0xb090, { 14, 14, 6, 6}},\r
387 {m68k_op_cmp_32_pi , 0xf1f8, 0xb098, { 14, 14, 6, 6}},\r
388 {m68k_op_cmp_32_pd , 0xf1f8, 0xb0a0, { 16, 16, 7, 7}},\r
389 {m68k_op_cmp_32_di , 0xf1f8, 0xb0a8, { 18, 18, 7, 7}},\r
390 {m68k_op_cmp_32_ix , 0xf1f8, 0xb0b0, { 20, 20, 9, 9}},\r
391 {m68k_op_cmpa_16_d , 0xf1f8, 0xb0c0, { 6, 6, 4, 4}},\r
392 {m68k_op_cmpa_16_a , 0xf1f8, 0xb0c8, { 6, 6, 4, 4}},\r
393 {m68k_op_cmpa_16_ai , 0xf1f8, 0xb0d0, { 10, 10, 8, 8}},\r
394 {m68k_op_cmpa_16_pi , 0xf1f8, 0xb0d8, { 10, 10, 8, 8}},\r
395 {m68k_op_cmpa_16_pd , 0xf1f8, 0xb0e0, { 12, 12, 9, 9}},\r
396 {m68k_op_cmpa_16_di , 0xf1f8, 0xb0e8, { 14, 14, 9, 9}},\r
397 {m68k_op_cmpa_16_ix , 0xf1f8, 0xb0f0, { 16, 16, 11, 11}},\r
398 {m68k_op_eor_8_d , 0xf1f8, 0xb100, { 4, 4, 2, 2}},\r
399 {m68k_op_cmpm_8 , 0xf1f8, 0xb108, { 12, 12, 9, 9}},\r
400 {m68k_op_eor_8_ai , 0xf1f8, 0xb110, { 12, 12, 8, 8}},\r
401 {m68k_op_eor_8_pi , 0xf1f8, 0xb118, { 12, 12, 8, 8}},\r
402 {m68k_op_eor_8_pd , 0xf1f8, 0xb120, { 14, 14, 9, 9}},\r
403 {m68k_op_eor_8_di , 0xf1f8, 0xb128, { 16, 16, 9, 9}},\r
404 {m68k_op_eor_8_ix , 0xf1f8, 0xb130, { 18, 18, 11, 11}},\r
405 {m68k_op_eor_16_d , 0xf1f8, 0xb140, { 4, 4, 2, 2}},\r
406 {m68k_op_cmpm_16 , 0xf1f8, 0xb148, { 12, 12, 9, 9}},\r
407 {m68k_op_eor_16_ai , 0xf1f8, 0xb150, { 12, 12, 8, 8}},\r
408 {m68k_op_eor_16_pi , 0xf1f8, 0xb158, { 12, 12, 8, 8}},\r
409 {m68k_op_eor_16_pd , 0xf1f8, 0xb160, { 14, 14, 9, 9}},\r
410 {m68k_op_eor_16_di , 0xf1f8, 0xb168, { 16, 16, 9, 9}},\r
411 {m68k_op_eor_16_ix , 0xf1f8, 0xb170, { 18, 18, 11, 11}},\r
412 {m68k_op_eor_32_d , 0xf1f8, 0xb180, { 8, 6, 2, 2}},\r
413 {m68k_op_cmpm_32 , 0xf1f8, 0xb188, { 20, 20, 9, 9}},\r
414 {m68k_op_eor_32_ai , 0xf1f8, 0xb190, { 20, 20, 8, 8}},\r
415 {m68k_op_eor_32_pi , 0xf1f8, 0xb198, { 20, 20, 8, 8}},\r
416 {m68k_op_eor_32_pd , 0xf1f8, 0xb1a0, { 22, 22, 9, 9}},\r
417 {m68k_op_eor_32_di , 0xf1f8, 0xb1a8, { 24, 24, 9, 9}},\r
418 {m68k_op_eor_32_ix , 0xf1f8, 0xb1b0, { 26, 26, 11, 11}},\r
419 {m68k_op_cmpa_32_d , 0xf1f8, 0xb1c0, { 6, 6, 4, 4}},\r
420 {m68k_op_cmpa_32_a , 0xf1f8, 0xb1c8, { 6, 6, 4, 4}},\r
421 {m68k_op_cmpa_32_ai , 0xf1f8, 0xb1d0, { 14, 14, 8, 8}},\r
422 {m68k_op_cmpa_32_pi , 0xf1f8, 0xb1d8, { 14, 14, 8, 8}},\r
423 {m68k_op_cmpa_32_pd , 0xf1f8, 0xb1e0, { 16, 16, 9, 9}},\r
424 {m68k_op_cmpa_32_di , 0xf1f8, 0xb1e8, { 18, 18, 9, 9}},\r
425 {m68k_op_cmpa_32_ix , 0xf1f8, 0xb1f0, { 20, 20, 11, 11}},\r
426 {m68k_op_and_8_er_d , 0xf1f8, 0xc000, { 4, 4, 2, 2}},\r
427 {m68k_op_and_8_er_ai , 0xf1f8, 0xc010, { 8, 8, 6, 6}},\r
428 {m68k_op_and_8_er_pi , 0xf1f8, 0xc018, { 8, 8, 6, 6}},\r
429 {m68k_op_and_8_er_pd , 0xf1f8, 0xc020, { 10, 10, 7, 7}},\r
430 {m68k_op_and_8_er_di , 0xf1f8, 0xc028, { 12, 12, 7, 7}},\r
431 {m68k_op_and_8_er_ix , 0xf1f8, 0xc030, { 14, 14, 9, 9}},\r
432 {m68k_op_and_16_er_d , 0xf1f8, 0xc040, { 4, 4, 2, 2}},\r
433 {m68k_op_and_16_er_ai , 0xf1f8, 0xc050, { 8, 8, 6, 6}},\r
434 {m68k_op_and_16_er_pi , 0xf1f8, 0xc058, { 8, 8, 6, 6}},\r
435 {m68k_op_and_16_er_pd , 0xf1f8, 0xc060, { 10, 10, 7, 7}},\r
436 {m68k_op_and_16_er_di , 0xf1f8, 0xc068, { 12, 12, 7, 7}},\r
437 {m68k_op_and_16_er_ix , 0xf1f8, 0xc070, { 14, 14, 9, 9}},\r
438 {m68k_op_and_32_er_d , 0xf1f8, 0xc080, { 8, 6, 2, 2}},\r
439 {m68k_op_and_32_er_ai , 0xf1f8, 0xc090, { 14, 14, 6, 6}},\r
440 {m68k_op_and_32_er_pi , 0xf1f8, 0xc098, { 14, 14, 6, 6}},\r
441 {m68k_op_and_32_er_pd , 0xf1f8, 0xc0a0, { 16, 16, 7, 7}},\r
442 {m68k_op_and_32_er_di , 0xf1f8, 0xc0a8, { 18, 18, 7, 7}},\r
443 {m68k_op_and_32_er_ix , 0xf1f8, 0xc0b0, { 20, 20, 9, 9}},\r
444 {m68k_op_mulu_16_d , 0xf1f8, 0xc0c0, { 54, 30, 27, 27}},\r
445 {m68k_op_mulu_16_ai , 0xf1f8, 0xc0d0, { 58, 34, 31, 31}},\r
446 {m68k_op_mulu_16_pi , 0xf1f8, 0xc0d8, { 58, 34, 31, 31}},\r
447 {m68k_op_mulu_16_pd , 0xf1f8, 0xc0e0, { 60, 36, 32, 32}},\r
448 {m68k_op_mulu_16_di , 0xf1f8, 0xc0e8, { 62, 38, 32, 32}},\r
449 {m68k_op_mulu_16_ix , 0xf1f8, 0xc0f0, { 64, 40, 34, 34}},\r
450 {m68k_op_abcd_8_rr , 0xf1f8, 0xc100, { 6, 6, 4, 4}},\r
451 {m68k_op_abcd_8_mm , 0xf1f8, 0xc108, { 18, 18, 16, 16}},\r
452 {m68k_op_and_8_re_ai , 0xf1f8, 0xc110, { 12, 12, 8, 8}},\r
453 {m68k_op_and_8_re_pi , 0xf1f8, 0xc118, { 12, 12, 8, 8}},\r
454 {m68k_op_and_8_re_pd , 0xf1f8, 0xc120, { 14, 14, 9, 9}},\r
455 {m68k_op_and_8_re_di , 0xf1f8, 0xc128, { 16, 16, 9, 9}},\r
456 {m68k_op_and_8_re_ix , 0xf1f8, 0xc130, { 18, 18, 11, 11}},\r
457 {m68k_op_exg_32_dd , 0xf1f8, 0xc140, { 6, 6, 2, 2}},\r
458 {m68k_op_exg_32_aa , 0xf1f8, 0xc148, { 6, 6, 2, 2}},\r
459 {m68k_op_and_16_re_ai , 0xf1f8, 0xc150, { 12, 12, 8, 8}},\r
460 {m68k_op_and_16_re_pi , 0xf1f8, 0xc158, { 12, 12, 8, 8}},\r
461 {m68k_op_and_16_re_pd , 0xf1f8, 0xc160, { 14, 14, 9, 9}},\r
462 {m68k_op_and_16_re_di , 0xf1f8, 0xc168, { 16, 16, 9, 9}},\r
463 {m68k_op_and_16_re_ix , 0xf1f8, 0xc170, { 18, 18, 11, 11}},\r
464 {m68k_op_exg_32_da , 0xf1f8, 0xc188, { 6, 6, 2, 2}},\r
465 {m68k_op_and_32_re_ai , 0xf1f8, 0xc190, { 20, 20, 8, 8}},\r
466 {m68k_op_and_32_re_pi , 0xf1f8, 0xc198, { 20, 20, 8, 8}},\r
467 {m68k_op_and_32_re_pd , 0xf1f8, 0xc1a0, { 22, 22, 9, 9}},\r
468 {m68k_op_and_32_re_di , 0xf1f8, 0xc1a8, { 24, 24, 9, 9}},\r
469 {m68k_op_and_32_re_ix , 0xf1f8, 0xc1b0, { 26, 26, 11, 11}},\r
470 {m68k_op_muls_16_d , 0xf1f8, 0xc1c0, { 54, 32, 27, 27}},\r
471 {m68k_op_muls_16_ai , 0xf1f8, 0xc1d0, { 58, 36, 31, 31}},\r
472 {m68k_op_muls_16_pi , 0xf1f8, 0xc1d8, { 58, 36, 31, 31}},\r
473 {m68k_op_muls_16_pd , 0xf1f8, 0xc1e0, { 60, 38, 32, 32}},\r
474 {m68k_op_muls_16_di , 0xf1f8, 0xc1e8, { 62, 40, 32, 32}},\r
475 {m68k_op_muls_16_ix , 0xf1f8, 0xc1f0, { 64, 42, 34, 34}},\r
476 {m68k_op_add_8_er_d , 0xf1f8, 0xd000, { 4, 4, 2, 2}},\r
477 {m68k_op_add_8_er_ai , 0xf1f8, 0xd010, { 8, 8, 6, 6}},\r
478 {m68k_op_add_8_er_pi , 0xf1f8, 0xd018, { 8, 8, 6, 6}},\r
479 {m68k_op_add_8_er_pd , 0xf1f8, 0xd020, { 10, 10, 7, 7}},\r
480 {m68k_op_add_8_er_di , 0xf1f8, 0xd028, { 12, 12, 7, 7}},\r
481 {m68k_op_add_8_er_ix , 0xf1f8, 0xd030, { 14, 14, 9, 9}},\r
482 {m68k_op_add_16_er_d , 0xf1f8, 0xd040, { 4, 4, 2, 2}},\r
483 {m68k_op_add_16_er_a , 0xf1f8, 0xd048, { 4, 4, 2, 2}},\r
484 {m68k_op_add_16_er_ai , 0xf1f8, 0xd050, { 8, 8, 6, 6}},\r
485 {m68k_op_add_16_er_pi , 0xf1f8, 0xd058, { 8, 8, 6, 6}},\r
486 {m68k_op_add_16_er_pd , 0xf1f8, 0xd060, { 10, 10, 7, 7}},\r
487 {m68k_op_add_16_er_di , 0xf1f8, 0xd068, { 12, 12, 7, 7}},\r
488 {m68k_op_add_16_er_ix , 0xf1f8, 0xd070, { 14, 14, 9, 9}},\r
489 {m68k_op_add_32_er_d , 0xf1f8, 0xd080, { 8, 6, 2, 2}},\r
490 {m68k_op_add_32_er_a , 0xf1f8, 0xd088, { 8, 6, 2, 2}},\r
491 {m68k_op_add_32_er_ai , 0xf1f8, 0xd090, { 14, 14, 6, 6}},\r
492 {m68k_op_add_32_er_pi , 0xf1f8, 0xd098, { 14, 14, 6, 6}},\r
493 {m68k_op_add_32_er_pd , 0xf1f8, 0xd0a0, { 16, 16, 7, 7}},\r
494 {m68k_op_add_32_er_di , 0xf1f8, 0xd0a8, { 18, 18, 7, 7}},\r
495 {m68k_op_add_32_er_ix , 0xf1f8, 0xd0b0, { 20, 20, 9, 9}},\r
496 {m68k_op_adda_16_d , 0xf1f8, 0xd0c0, { 8, 8, 2, 2}},\r
497 {m68k_op_adda_16_a , 0xf1f8, 0xd0c8, { 8, 8, 2, 2}},\r
498 {m68k_op_adda_16_ai , 0xf1f8, 0xd0d0, { 12, 12, 6, 6}},\r
499 {m68k_op_adda_16_pi , 0xf1f8, 0xd0d8, { 12, 12, 6, 6}},\r
500 {m68k_op_adda_16_pd , 0xf1f8, 0xd0e0, { 14, 14, 7, 7}},\r
501 {m68k_op_adda_16_di , 0xf1f8, 0xd0e8, { 16, 16, 7, 7}},\r
502 {m68k_op_adda_16_ix , 0xf1f8, 0xd0f0, { 18, 18, 9, 9}},\r
503 {m68k_op_addx_8_rr , 0xf1f8, 0xd100, { 4, 4, 2, 2}},\r
504 {m68k_op_addx_8_mm , 0xf1f8, 0xd108, { 18, 18, 12, 12}},\r
505 {m68k_op_add_8_re_ai , 0xf1f8, 0xd110, { 12, 12, 8, 8}},\r
506 {m68k_op_add_8_re_pi , 0xf1f8, 0xd118, { 12, 12, 8, 8}},\r
507 {m68k_op_add_8_re_pd , 0xf1f8, 0xd120, { 14, 14, 9, 9}},\r
508 {m68k_op_add_8_re_di , 0xf1f8, 0xd128, { 16, 16, 9, 9}},\r
509 {m68k_op_add_8_re_ix , 0xf1f8, 0xd130, { 18, 18, 11, 11}},\r
510 {m68k_op_addx_16_rr , 0xf1f8, 0xd140, { 4, 4, 2, 2}},\r
511 {m68k_op_addx_16_mm , 0xf1f8, 0xd148, { 18, 18, 12, 12}},\r
512 {m68k_op_add_16_re_ai , 0xf1f8, 0xd150, { 12, 12, 8, 8}},\r
513 {m68k_op_add_16_re_pi , 0xf1f8, 0xd158, { 12, 12, 8, 8}},\r
514 {m68k_op_add_16_re_pd , 0xf1f8, 0xd160, { 14, 14, 9, 9}},\r
515 {m68k_op_add_16_re_di , 0xf1f8, 0xd168, { 16, 16, 9, 9}},\r
516 {m68k_op_add_16_re_ix , 0xf1f8, 0xd170, { 18, 18, 11, 11}},\r
517 {m68k_op_addx_32_rr , 0xf1f8, 0xd180, { 8, 6, 2, 2}},\r
518 {m68k_op_addx_32_mm , 0xf1f8, 0xd188, { 30, 30, 12, 12}},\r
519 {m68k_op_add_32_re_ai , 0xf1f8, 0xd190, { 20, 20, 8, 8}},\r
520 {m68k_op_add_32_re_pi , 0xf1f8, 0xd198, { 20, 20, 8, 8}},\r
521 {m68k_op_add_32_re_pd , 0xf1f8, 0xd1a0, { 22, 22, 9, 9}},\r
522 {m68k_op_add_32_re_di , 0xf1f8, 0xd1a8, { 24, 24, 9, 9}},\r
523 {m68k_op_add_32_re_ix , 0xf1f8, 0xd1b0, { 26, 26, 11, 11}},\r
524 {m68k_op_adda_32_d , 0xf1f8, 0xd1c0, { 8, 6, 2, 2}},\r
525 {m68k_op_adda_32_a , 0xf1f8, 0xd1c8, { 8, 6, 2, 2}},\r
526 {m68k_op_adda_32_ai , 0xf1f8, 0xd1d0, { 14, 14, 6, 6}},\r
527 {m68k_op_adda_32_pi , 0xf1f8, 0xd1d8, { 14, 14, 6, 6}},\r
528 {m68k_op_adda_32_pd , 0xf1f8, 0xd1e0, { 16, 16, 7, 7}},\r
529 {m68k_op_adda_32_di , 0xf1f8, 0xd1e8, { 18, 18, 7, 7}},\r
530 {m68k_op_adda_32_ix , 0xf1f8, 0xd1f0, { 20, 20, 9, 9}},\r
531 {m68k_op_asr_8_s , 0xf1f8, 0xe000, { 6, 6, 6, 6}},\r
532 {m68k_op_lsr_8_s , 0xf1f8, 0xe008, { 6, 6, 4, 4}},\r
533 {m68k_op_roxr_8_s , 0xf1f8, 0xe010, { 6, 6, 12, 12}},\r
534 {m68k_op_ror_8_s , 0xf1f8, 0xe018, { 6, 6, 8, 8}},\r
535 {m68k_op_asr_8_r , 0xf1f8, 0xe020, { 6, 6, 6, 6}},\r
536 {m68k_op_lsr_8_r , 0xf1f8, 0xe028, { 6, 6, 6, 6}},\r
537 {m68k_op_roxr_8_r , 0xf1f8, 0xe030, { 6, 6, 12, 12}},\r
538 {m68k_op_ror_8_r , 0xf1f8, 0xe038, { 6, 6, 8, 8}},\r
539 {m68k_op_asr_16_s , 0xf1f8, 0xe040, { 6, 6, 6, 6}},\r
540 {m68k_op_lsr_16_s , 0xf1f8, 0xe048, { 6, 6, 4, 4}},\r
541 {m68k_op_roxr_16_s , 0xf1f8, 0xe050, { 6, 6, 12, 12}},\r
542 {m68k_op_ror_16_s , 0xf1f8, 0xe058, { 6, 6, 8, 8}},\r
543 {m68k_op_asr_16_r , 0xf1f8, 0xe060, { 6, 6, 6, 6}},\r
544 {m68k_op_lsr_16_r , 0xf1f8, 0xe068, { 6, 6, 6, 6}},\r
545 {m68k_op_roxr_16_r , 0xf1f8, 0xe070, { 6, 6, 12, 12}},\r
546 {m68k_op_ror_16_r , 0xf1f8, 0xe078, { 6, 6, 8, 8}},\r
547 {m68k_op_asr_32_s , 0xf1f8, 0xe080, { 8, 8, 6, 6}},\r
548 {m68k_op_lsr_32_s , 0xf1f8, 0xe088, { 8, 8, 4, 4}},\r
549 {m68k_op_roxr_32_s , 0xf1f8, 0xe090, { 8, 8, 12, 12}},\r
550 {m68k_op_ror_32_s , 0xf1f8, 0xe098, { 8, 8, 8, 8}},\r
551 {m68k_op_asr_32_r , 0xf1f8, 0xe0a0, { 8, 8, 6, 6}},\r
552 {m68k_op_lsr_32_r , 0xf1f8, 0xe0a8, { 8, 8, 6, 6}},\r
553 {m68k_op_roxr_32_r , 0xf1f8, 0xe0b0, { 8, 8, 12, 12}},\r
554 {m68k_op_ror_32_r , 0xf1f8, 0xe0b8, { 8, 8, 8, 8}},\r
555 {m68k_op_asl_8_s , 0xf1f8, 0xe100, { 6, 6, 8, 8}},\r
556 {m68k_op_lsl_8_s , 0xf1f8, 0xe108, { 6, 6, 4, 4}},\r
557 {m68k_op_roxl_8_s , 0xf1f8, 0xe110, { 6, 6, 12, 12}},\r
558 {m68k_op_rol_8_s , 0xf1f8, 0xe118, { 6, 6, 8, 8}},\r
559 {m68k_op_asl_8_r , 0xf1f8, 0xe120, { 6, 6, 8, 8}},\r
560 {m68k_op_lsl_8_r , 0xf1f8, 0xe128, { 6, 6, 6, 6}},\r
561 {m68k_op_roxl_8_r , 0xf1f8, 0xe130, { 6, 6, 12, 12}},\r
562 {m68k_op_rol_8_r , 0xf1f8, 0xe138, { 6, 6, 8, 8}},\r
563 {m68k_op_asl_16_s , 0xf1f8, 0xe140, { 6, 6, 8, 8}},\r
564 {m68k_op_lsl_16_s , 0xf1f8, 0xe148, { 6, 6, 4, 4}},\r
565 {m68k_op_roxl_16_s , 0xf1f8, 0xe150, { 6, 6, 12, 12}},\r
566 {m68k_op_rol_16_s , 0xf1f8, 0xe158, { 6, 6, 8, 8}},\r
567 {m68k_op_asl_16_r , 0xf1f8, 0xe160, { 6, 6, 8, 8}},\r
568 {m68k_op_lsl_16_r , 0xf1f8, 0xe168, { 6, 6, 6, 6}},\r
569 {m68k_op_roxl_16_r , 0xf1f8, 0xe170, { 6, 6, 12, 12}},\r
570 {m68k_op_rol_16_r , 0xf1f8, 0xe178, { 6, 6, 8, 8}},\r
571 {m68k_op_asl_32_s , 0xf1f8, 0xe180, { 8, 8, 8, 8}},\r
572 {m68k_op_lsl_32_s , 0xf1f8, 0xe188, { 8, 8, 4, 4}},\r
573 {m68k_op_roxl_32_s , 0xf1f8, 0xe190, { 8, 8, 12, 12}},\r
574 {m68k_op_rol_32_s , 0xf1f8, 0xe198, { 8, 8, 8, 8}},\r
575 {m68k_op_asl_32_r , 0xf1f8, 0xe1a0, { 8, 8, 8, 8}},\r
576 {m68k_op_lsl_32_r , 0xf1f8, 0xe1a8, { 8, 8, 6, 6}},\r
577 {m68k_op_roxl_32_r , 0xf1f8, 0xe1b0, { 8, 8, 12, 12}},\r
578 {m68k_op_rol_32_r , 0xf1f8, 0xe1b8, { 8, 8, 8, 8}},\r
579 {m68k_op_cpdbcc_32 , 0xf1f8, 0xf048, { 0, 0, 4, 0}},\r
580 {m68k_op_cptrapcc_32 , 0xf1f8, 0xf078, { 0, 0, 4, 0}},\r
581 {m68k_op_rtm_32 , 0xfff0, 0x06c0, { 0, 0, 19, 19}},\r
582 {m68k_op_trap , 0xfff0, 0x4e40, { 4, 4, 4, 4}},\r
583 {m68k_op_btst_8_r_pi7 , 0xf1ff, 0x011f, { 8, 8, 8, 8}},\r
584 {m68k_op_btst_8_r_pd7 , 0xf1ff, 0x0127, { 10, 10, 9, 9}},\r
585 {m68k_op_btst_8_r_aw , 0xf1ff, 0x0138, { 12, 12, 8, 8}},\r
586 {m68k_op_btst_8_r_al , 0xf1ff, 0x0139, { 16, 16, 8, 8}},\r
587 {m68k_op_btst_8_r_pcdi , 0xf1ff, 0x013a, { 12, 12, 9, 9}},\r
588 {m68k_op_btst_8_r_pcix , 0xf1ff, 0x013b, { 14, 14, 11, 11}},\r
589 {m68k_op_btst_8_r_i , 0xf1ff, 0x013c, { 8, 8, 6, 6}},\r
590 {m68k_op_bchg_8_r_pi7 , 0xf1ff, 0x015f, { 12, 12, 8, 8}},\r
591 {m68k_op_bchg_8_r_pd7 , 0xf1ff, 0x0167, { 14, 14, 9, 9}},\r
592 {m68k_op_bchg_8_r_aw , 0xf1ff, 0x0178, { 16, 16, 8, 8}},\r
593 {m68k_op_bchg_8_r_al , 0xf1ff, 0x0179, { 20, 20, 8, 8}},\r
594 {m68k_op_bclr_8_r_pi7 , 0xf1ff, 0x019f, { 12, 14, 8, 8}},\r
595 {m68k_op_bclr_8_r_pd7 , 0xf1ff, 0x01a7, { 14, 16, 9, 9}},\r
596 {m68k_op_bclr_8_r_aw , 0xf1ff, 0x01b8, { 16, 18, 8, 8}},\r
597 {m68k_op_bclr_8_r_al , 0xf1ff, 0x01b9, { 20, 22, 8, 8}},\r
598 {m68k_op_bset_8_r_pi7 , 0xf1ff, 0x01df, { 12, 12, 8, 8}},\r
599 {m68k_op_bset_8_r_pd7 , 0xf1ff, 0x01e7, { 14, 14, 9, 9}},\r
600 {m68k_op_bset_8_r_aw , 0xf1ff, 0x01f8, { 16, 16, 8, 8}},\r
601 {m68k_op_bset_8_r_al , 0xf1ff, 0x01f9, { 20, 20, 8, 8}},\r
602 {m68k_op_move_8_d_pi7 , 0xf1ff, 0x101f, { 8, 8, 6, 6}},\r
603 {m68k_op_move_8_d_pd7 , 0xf1ff, 0x1027, { 10, 10, 7, 7}},\r
604 {m68k_op_move_8_d_aw , 0xf1ff, 0x1038, { 12, 12, 6, 6}},\r
605 {m68k_op_move_8_d_al , 0xf1ff, 0x1039, { 16, 16, 6, 6}},\r
606 {m68k_op_move_8_d_pcdi , 0xf1ff, 0x103a, { 12, 12, 7, 7}},\r
607 {m68k_op_move_8_d_pcix , 0xf1ff, 0x103b, { 14, 14, 9, 9}},\r
608 {m68k_op_move_8_d_i , 0xf1ff, 0x103c, { 8, 8, 4, 4}},\r
609 {m68k_op_move_8_ai_pi7 , 0xf1ff, 0x109f, { 12, 12, 8, 8}},\r
610 {m68k_op_move_8_ai_pd7 , 0xf1ff, 0x10a7, { 14, 14, 9, 9}},\r
611 {m68k_op_move_8_ai_aw , 0xf1ff, 0x10b8, { 16, 16, 8, 8}},\r
612 {m68k_op_move_8_ai_al , 0xf1ff, 0x10b9, { 20, 20, 8, 8}},\r
613 {m68k_op_move_8_ai_pcdi , 0xf1ff, 0x10ba, { 16, 16, 9, 9}},\r
614 {m68k_op_move_8_ai_pcix , 0xf1ff, 0x10bb, { 18, 18, 11, 11}},\r
615 {m68k_op_move_8_ai_i , 0xf1ff, 0x10bc, { 12, 12, 6, 6}},\r
616 {m68k_op_move_8_pi_pi7 , 0xf1ff, 0x10df, { 12, 12, 8, 8}},\r
617 {m68k_op_move_8_pi_pd7 , 0xf1ff, 0x10e7, { 14, 14, 9, 9}},\r
618 {m68k_op_move_8_pi_aw , 0xf1ff, 0x10f8, { 16, 16, 8, 8}},\r
619 {m68k_op_move_8_pi_al , 0xf1ff, 0x10f9, { 20, 20, 8, 8}},\r
620 {m68k_op_move_8_pi_pcdi , 0xf1ff, 0x10fa, { 16, 16, 9, 9}},\r
621 {m68k_op_move_8_pi_pcix , 0xf1ff, 0x10fb, { 18, 18, 11, 11}},\r
622 {m68k_op_move_8_pi_i , 0xf1ff, 0x10fc, { 12, 12, 6, 6}},\r
623 {m68k_op_move_8_pd_pi7 , 0xf1ff, 0x111f, { 12, 12, 9, 9}},\r
624 {m68k_op_move_8_pd_pd7 , 0xf1ff, 0x1127, { 14, 14, 10, 10}},\r
625 {m68k_op_move_8_pd_aw , 0xf1ff, 0x1138, { 16, 16, 9, 9}},\r
626 {m68k_op_move_8_pd_al , 0xf1ff, 0x1139, { 20, 20, 9, 9}},\r
627 {m68k_op_move_8_pd_pcdi , 0xf1ff, 0x113a, { 16, 16, 10, 10}},\r
628 {m68k_op_move_8_pd_pcix , 0xf1ff, 0x113b, { 18, 18, 12, 12}},\r
629 {m68k_op_move_8_pd_i , 0xf1ff, 0x113c, { 12, 12, 7, 7}},\r
630 {m68k_op_move_8_di_pi7 , 0xf1ff, 0x115f, { 16, 16, 9, 9}},\r
631 {m68k_op_move_8_di_pd7 , 0xf1ff, 0x1167, { 18, 18, 10, 10}},\r
632 {m68k_op_move_8_di_aw , 0xf1ff, 0x1178, { 20, 20, 9, 9}},\r
633 {m68k_op_move_8_di_al , 0xf1ff, 0x1179, { 24, 24, 9, 9}},\r
634 {m68k_op_move_8_di_pcdi , 0xf1ff, 0x117a, { 20, 20, 10, 10}},\r
635 {m68k_op_move_8_di_pcix , 0xf1ff, 0x117b, { 22, 22, 12, 12}},\r
636 {m68k_op_move_8_di_i , 0xf1ff, 0x117c, { 16, 16, 7, 7}},\r
637 {m68k_op_move_8_ix_pi7 , 0xf1ff, 0x119f, { 18, 18, 11, 11}},\r
638 {m68k_op_move_8_ix_pd7 , 0xf1ff, 0x11a7, { 20, 20, 12, 12}},\r
639 {m68k_op_move_8_ix_aw , 0xf1ff, 0x11b8, { 22, 22, 11, 11}},\r
640 {m68k_op_move_8_ix_al , 0xf1ff, 0x11b9, { 26, 26, 11, 11}},\r
641 {m68k_op_move_8_ix_pcdi , 0xf1ff, 0x11ba, { 22, 22, 12, 12}},\r
642 {m68k_op_move_8_ix_pcix , 0xf1ff, 0x11bb, { 24, 24, 14, 14}},\r
643 {m68k_op_move_8_ix_i , 0xf1ff, 0x11bc, { 18, 18, 9, 9}},\r
644 {m68k_op_move_32_d_aw , 0xf1ff, 0x2038, { 16, 16, 6, 6}},\r
645 {m68k_op_move_32_d_al , 0xf1ff, 0x2039, { 20, 20, 6, 6}},\r
646 {m68k_op_move_32_d_pcdi , 0xf1ff, 0x203a, { 16, 16, 7, 7}},\r
647 {m68k_op_move_32_d_pcix , 0xf1ff, 0x203b, { 18, 18, 9, 9}},\r
648 {m68k_op_move_32_d_i , 0xf1ff, 0x203c, { 12, 12, 6, 6}},\r
649 {m68k_op_movea_32_aw , 0xf1ff, 0x2078, { 16, 16, 6, 6}},\r
650 {m68k_op_movea_32_al , 0xf1ff, 0x2079, { 20, 20, 6, 6}},\r
651 {m68k_op_movea_32_pcdi , 0xf1ff, 0x207a, { 16, 16, 7, 7}},\r
652 {m68k_op_movea_32_pcix , 0xf1ff, 0x207b, { 18, 18, 9, 9}},\r
653 {m68k_op_movea_32_i , 0xf1ff, 0x207c, { 12, 12, 6, 6}},\r
654 {m68k_op_move_32_ai_aw , 0xf1ff, 0x20b8, { 24, 24, 8, 8}},\r
655 {m68k_op_move_32_ai_al , 0xf1ff, 0x20b9, { 28, 28, 8, 8}},\r
656 {m68k_op_move_32_ai_pcdi , 0xf1ff, 0x20ba, { 24, 24, 9, 9}},\r
657 {m68k_op_move_32_ai_pcix , 0xf1ff, 0x20bb, { 26, 26, 11, 11}},\r
658 {m68k_op_move_32_ai_i , 0xf1ff, 0x20bc, { 20, 20, 8, 8}},\r
659 {m68k_op_move_32_pi_aw , 0xf1ff, 0x20f8, { 24, 24, 8, 8}},\r
660 {m68k_op_move_32_pi_al , 0xf1ff, 0x20f9, { 28, 28, 8, 8}},\r
661 {m68k_op_move_32_pi_pcdi , 0xf1ff, 0x20fa, { 24, 24, 9, 9}},\r
662 {m68k_op_move_32_pi_pcix , 0xf1ff, 0x20fb, { 26, 26, 11, 11}},\r
663 {m68k_op_move_32_pi_i , 0xf1ff, 0x20fc, { 20, 20, 8, 8}},\r
664 {m68k_op_move_32_pd_aw , 0xf1ff, 0x2138, { 24, 26, 9, 9}},\r
665 {m68k_op_move_32_pd_al , 0xf1ff, 0x2139, { 28, 30, 9, 9}},\r
666 {m68k_op_move_32_pd_pcdi , 0xf1ff, 0x213a, { 24, 26, 10, 10}},\r
667 {m68k_op_move_32_pd_pcix , 0xf1ff, 0x213b, { 26, 28, 12, 12}},\r
668 {m68k_op_move_32_pd_i , 0xf1ff, 0x213c, { 20, 22, 9, 9}},\r
669 {m68k_op_move_32_di_aw , 0xf1ff, 0x2178, { 28, 28, 9, 9}},\r
670 {m68k_op_move_32_di_al , 0xf1ff, 0x2179, { 32, 32, 9, 9}},\r
671 {m68k_op_move_32_di_pcdi , 0xf1ff, 0x217a, { 28, 28, 10, 10}},\r
672 {m68k_op_move_32_di_pcix , 0xf1ff, 0x217b, { 30, 30, 12, 12}},\r
673 {m68k_op_move_32_di_i , 0xf1ff, 0x217c, { 24, 24, 9, 9}},\r
674 {m68k_op_move_32_ix_aw , 0xf1ff, 0x21b8, { 30, 30, 11, 11}},\r
675 {m68k_op_move_32_ix_al , 0xf1ff, 0x21b9, { 34, 34, 11, 11}},\r
676 {m68k_op_move_32_ix_pcdi , 0xf1ff, 0x21ba, { 30, 30, 12, 12}},\r
677 {m68k_op_move_32_ix_pcix , 0xf1ff, 0x21bb, { 32, 32, 14, 14}},\r
678 {m68k_op_move_32_ix_i , 0xf1ff, 0x21bc, { 26, 26, 11, 11}},\r
679 {m68k_op_move_16_d_aw , 0xf1ff, 0x3038, { 12, 12, 6, 6}},\r
680 {m68k_op_move_16_d_al , 0xf1ff, 0x3039, { 16, 16, 6, 6}},\r
681 {m68k_op_move_16_d_pcdi , 0xf1ff, 0x303a, { 12, 12, 7, 7}},\r
682 {m68k_op_move_16_d_pcix , 0xf1ff, 0x303b, { 14, 14, 9, 9}},\r
683 {m68k_op_move_16_d_i , 0xf1ff, 0x303c, { 8, 8, 4, 4}},\r
684 {m68k_op_movea_16_aw , 0xf1ff, 0x3078, { 12, 12, 6, 6}},\r
685 {m68k_op_movea_16_al , 0xf1ff, 0x3079, { 16, 16, 6, 6}},\r
686 {m68k_op_movea_16_pcdi , 0xf1ff, 0x307a, { 12, 12, 7, 7}},\r
687 {m68k_op_movea_16_pcix , 0xf1ff, 0x307b, { 14, 14, 9, 9}},\r
688 {m68k_op_movea_16_i , 0xf1ff, 0x307c, { 8, 8, 4, 4}},\r
689 {m68k_op_move_16_ai_aw , 0xf1ff, 0x30b8, { 16, 16, 8, 8}},\r
690 {m68k_op_move_16_ai_al , 0xf1ff, 0x30b9, { 20, 20, 8, 8}},\r
691 {m68k_op_move_16_ai_pcdi , 0xf1ff, 0x30ba, { 16, 16, 9, 9}},\r
692 {m68k_op_move_16_ai_pcix , 0xf1ff, 0x30bb, { 18, 18, 11, 11}},\r
693 {m68k_op_move_16_ai_i , 0xf1ff, 0x30bc, { 12, 12, 6, 6}},\r
694 {m68k_op_move_16_pi_aw , 0xf1ff, 0x30f8, { 16, 16, 8, 8}},\r
695 {m68k_op_move_16_pi_al , 0xf1ff, 0x30f9, { 20, 20, 8, 8}},\r
696 {m68k_op_move_16_pi_pcdi , 0xf1ff, 0x30fa, { 16, 16, 9, 9}},\r
697 {m68k_op_move_16_pi_pcix , 0xf1ff, 0x30fb, { 18, 18, 11, 11}},\r
698 {m68k_op_move_16_pi_i , 0xf1ff, 0x30fc, { 12, 12, 6, 6}},\r
699 {m68k_op_move_16_pd_aw , 0xf1ff, 0x3138, { 16, 16, 9, 9}},\r
700 {m68k_op_move_16_pd_al , 0xf1ff, 0x3139, { 20, 20, 9, 9}},\r
701 {m68k_op_move_16_pd_pcdi , 0xf1ff, 0x313a, { 16, 16, 10, 10}},\r
702 {m68k_op_move_16_pd_pcix , 0xf1ff, 0x313b, { 18, 18, 12, 12}},\r
703 {m68k_op_move_16_pd_i , 0xf1ff, 0x313c, { 12, 12, 7, 7}},\r
704 {m68k_op_move_16_di_aw , 0xf1ff, 0x3178, { 20, 20, 9, 9}},\r
705 {m68k_op_move_16_di_al , 0xf1ff, 0x3179, { 24, 24, 9, 9}},\r
706 {m68k_op_move_16_di_pcdi , 0xf1ff, 0x317a, { 20, 20, 10, 10}},\r
707 {m68k_op_move_16_di_pcix , 0xf1ff, 0x317b, { 22, 22, 12, 12}},\r
708 {m68k_op_move_16_di_i , 0xf1ff, 0x317c, { 16, 16, 7, 7}},\r
709 {m68k_op_move_16_ix_aw , 0xf1ff, 0x31b8, { 22, 22, 11, 11}},\r
710 {m68k_op_move_16_ix_al , 0xf1ff, 0x31b9, { 26, 26, 11, 11}},\r
711 {m68k_op_move_16_ix_pcdi , 0xf1ff, 0x31ba, { 22, 22, 12, 12}},\r
712 {m68k_op_move_16_ix_pcix , 0xf1ff, 0x31bb, { 24, 24, 14, 14}},\r
713 {m68k_op_move_16_ix_i , 0xf1ff, 0x31bc, { 18, 18, 9, 9}},\r
714 {m68k_op_chk_32_aw , 0xf1ff, 0x4138, { 0, 0, 12, 12}},\r
715 {m68k_op_chk_32_al , 0xf1ff, 0x4139, { 0, 0, 12, 12}},\r
716 {m68k_op_chk_32_pcdi , 0xf1ff, 0x413a, { 0, 0, 13, 13}},\r
717 {m68k_op_chk_32_pcix , 0xf1ff, 0x413b, { 0, 0, 15, 15}},\r
718 {m68k_op_chk_32_i , 0xf1ff, 0x413c, { 0, 0, 12, 12}},\r
719 {m68k_op_chk_16_aw , 0xf1ff, 0x41b8, { 18, 16, 12, 12}},\r
720 {m68k_op_chk_16_al , 0xf1ff, 0x41b9, { 22, 20, 12, 12}},\r
721 {m68k_op_chk_16_pcdi , 0xf1ff, 0x41ba, { 18, 16, 13, 13}},\r
722 {m68k_op_chk_16_pcix , 0xf1ff, 0x41bb, { 20, 18, 15, 15}},\r
723 {m68k_op_chk_16_i , 0xf1ff, 0x41bc, { 14, 12, 10, 10}},\r
724 {m68k_op_lea_32_aw , 0xf1ff, 0x41f8, { 8, 8, 6, 6}},\r
725 {m68k_op_lea_32_al , 0xf1ff, 0x41f9, { 12, 12, 6, 6}},\r
726 {m68k_op_lea_32_pcdi , 0xf1ff, 0x41fa, { 8, 8, 7, 7}},\r
727 {m68k_op_lea_32_pcix , 0xf1ff, 0x41fb, { 12, 12, 9, 9}},\r
728 {m68k_op_addq_8_pi7 , 0xf1ff, 0x501f, { 12, 12, 8, 8}},\r
729 {m68k_op_addq_8_pd7 , 0xf1ff, 0x5027, { 14, 14, 9, 9}},\r
730 {m68k_op_addq_8_aw , 0xf1ff, 0x5038, { 16, 16, 8, 8}},\r
731 {m68k_op_addq_8_al , 0xf1ff, 0x5039, { 20, 20, 8, 8}},\r
732 {m68k_op_addq_16_aw , 0xf1ff, 0x5078, { 16, 16, 8, 8}},\r
733 {m68k_op_addq_16_al , 0xf1ff, 0x5079, { 20, 20, 8, 8}},\r
734 {m68k_op_addq_32_aw , 0xf1ff, 0x50b8, { 24, 24, 8, 8}},\r
735 {m68k_op_addq_32_al , 0xf1ff, 0x50b9, { 28, 28, 8, 8}},\r
736 {m68k_op_subq_8_pi7 , 0xf1ff, 0x511f, { 12, 12, 8, 8}},\r
737 {m68k_op_subq_8_pd7 , 0xf1ff, 0x5127, { 14, 14, 9, 9}},\r
738 {m68k_op_subq_8_aw , 0xf1ff, 0x5138, { 16, 16, 8, 8}},\r
739 {m68k_op_subq_8_al , 0xf1ff, 0x5139, { 20, 20, 8, 8}},\r
740 {m68k_op_subq_16_aw , 0xf1ff, 0x5178, { 16, 16, 8, 8}},\r
741 {m68k_op_subq_16_al , 0xf1ff, 0x5179, { 20, 20, 8, 8}},\r
742 {m68k_op_subq_32_aw , 0xf1ff, 0x51b8, { 24, 24, 8, 8}},\r
743 {m68k_op_subq_32_al , 0xf1ff, 0x51b9, { 28, 28, 8, 8}},\r
744 {m68k_op_or_8_er_pi7 , 0xf1ff, 0x801f, { 8, 8, 6, 6}},\r
745 {m68k_op_or_8_er_pd7 , 0xf1ff, 0x8027, { 10, 10, 7, 7}},\r
746 {m68k_op_or_8_er_aw , 0xf1ff, 0x8038, { 12, 12, 6, 6}},\r
747 {m68k_op_or_8_er_al , 0xf1ff, 0x8039, { 16, 16, 6, 6}},\r
748 {m68k_op_or_8_er_pcdi , 0xf1ff, 0x803a, { 12, 12, 7, 7}},\r
749 {m68k_op_or_8_er_pcix , 0xf1ff, 0x803b, { 14, 14, 9, 9}},\r
750 {m68k_op_or_8_er_i , 0xf1ff, 0x803c, { 8, 8, 4, 4}},\r
751 {m68k_op_or_16_er_aw , 0xf1ff, 0x8078, { 12, 12, 6, 6}},\r
752 {m68k_op_or_16_er_al , 0xf1ff, 0x8079, { 16, 16, 6, 6}},\r
753 {m68k_op_or_16_er_pcdi , 0xf1ff, 0x807a, { 12, 12, 7, 7}},\r
754 {m68k_op_or_16_er_pcix , 0xf1ff, 0x807b, { 14, 14, 9, 9}},\r
755 {m68k_op_or_16_er_i , 0xf1ff, 0x807c, { 8, 8, 4, 4}},\r
756 {m68k_op_or_32_er_aw , 0xf1ff, 0x80b8, { 18, 18, 6, 6}},\r
757 {m68k_op_or_32_er_al , 0xf1ff, 0x80b9, { 22, 22, 6, 6}},\r
758 {m68k_op_or_32_er_pcdi , 0xf1ff, 0x80ba, { 18, 18, 7, 7}},\r
759 {m68k_op_or_32_er_pcix , 0xf1ff, 0x80bb, { 20, 20, 9, 9}},\r
760 {m68k_op_or_32_er_i , 0xf1ff, 0x80bc, { 16, 14, 6, 6}},\r
761 {m68k_op_divu_16_aw , 0xf1ff, 0x80f8, {148, 116, 48, 48}},\r
762 {m68k_op_divu_16_al , 0xf1ff, 0x80f9, {152, 120, 48, 48}},\r
763 {m68k_op_divu_16_pcdi , 0xf1ff, 0x80fa, {148, 116, 49, 49}},\r
764 {m68k_op_divu_16_pcix , 0xf1ff, 0x80fb, {150, 118, 51, 51}},\r
765 {m68k_op_divu_16_i , 0xf1ff, 0x80fc, {144, 112, 46, 46}},\r
766 {m68k_op_sbcd_8_mm_ay7 , 0xf1ff, 0x810f, { 18, 18, 16, 16}},\r
767 {m68k_op_or_8_re_pi7 , 0xf1ff, 0x811f, { 12, 12, 8, 8}},\r
768 {m68k_op_or_8_re_pd7 , 0xf1ff, 0x8127, { 14, 14, 9, 9}},\r
769 {m68k_op_or_8_re_aw , 0xf1ff, 0x8138, { 16, 16, 8, 8}},\r
770 {m68k_op_or_8_re_al , 0xf1ff, 0x8139, { 20, 20, 8, 8}},\r
771 {m68k_op_pack_16_mm_ay7 , 0xf1ff, 0x814f, { 0, 0, 13, 13}},\r
772 {m68k_op_or_16_re_aw , 0xf1ff, 0x8178, { 16, 16, 8, 8}},\r
773 {m68k_op_or_16_re_al , 0xf1ff, 0x8179, { 20, 20, 8, 8}},\r
774 {m68k_op_unpk_16_mm_ay7 , 0xf1ff, 0x818f, { 0, 0, 13, 13}},\r
775 {m68k_op_or_32_re_aw , 0xf1ff, 0x81b8, { 24, 24, 8, 8}},\r
776 {m68k_op_or_32_re_al , 0xf1ff, 0x81b9, { 28, 28, 8, 8}},\r
777 {m68k_op_divs_16_aw , 0xf1ff, 0x81f8, {166, 130, 60, 60}},\r
778 {m68k_op_divs_16_al , 0xf1ff, 0x81f9, {170, 134, 60, 60}},\r
779 {m68k_op_divs_16_pcdi , 0xf1ff, 0x81fa, {166, 130, 61, 61}},\r
780 {m68k_op_divs_16_pcix , 0xf1ff, 0x81fb, {168, 132, 63, 63}},\r
781 {m68k_op_divs_16_i , 0xf1ff, 0x81fc, {162, 126, 58, 58}},\r
782 {m68k_op_sub_8_er_pi7 , 0xf1ff, 0x901f, { 8, 8, 6, 6}},\r
783 {m68k_op_sub_8_er_pd7 , 0xf1ff, 0x9027, { 10, 10, 7, 7}},\r
784 {m68k_op_sub_8_er_aw , 0xf1ff, 0x9038, { 12, 12, 6, 6}},\r
785 {m68k_op_sub_8_er_al , 0xf1ff, 0x9039, { 16, 16, 6, 6}},\r
786 {m68k_op_sub_8_er_pcdi , 0xf1ff, 0x903a, { 12, 12, 7, 7}},\r
787 {m68k_op_sub_8_er_pcix , 0xf1ff, 0x903b, { 14, 14, 9, 9}},\r
788 {m68k_op_sub_8_er_i , 0xf1ff, 0x903c, { 8, 8, 4, 4}},\r
789 {m68k_op_sub_16_er_aw , 0xf1ff, 0x9078, { 12, 12, 6, 6}},\r
790 {m68k_op_sub_16_er_al , 0xf1ff, 0x9079, { 16, 16, 6, 6}},\r
791 {m68k_op_sub_16_er_pcdi , 0xf1ff, 0x907a, { 12, 12, 7, 7}},\r
792 {m68k_op_sub_16_er_pcix , 0xf1ff, 0x907b, { 14, 14, 9, 9}},\r
793 {m68k_op_sub_16_er_i , 0xf1ff, 0x907c, { 8, 8, 4, 4}},\r
794 {m68k_op_sub_32_er_aw , 0xf1ff, 0x90b8, { 18, 18, 6, 6}},\r
795 {m68k_op_sub_32_er_al , 0xf1ff, 0x90b9, { 22, 22, 6, 6}},\r
796 {m68k_op_sub_32_er_pcdi , 0xf1ff, 0x90ba, { 18, 18, 7, 7}},\r
797 {m68k_op_sub_32_er_pcix , 0xf1ff, 0x90bb, { 20, 20, 9, 9}},\r
798 {m68k_op_sub_32_er_i , 0xf1ff, 0x90bc, { 16, 14, 6, 6}},\r
799 {m68k_op_suba_16_aw , 0xf1ff, 0x90f8, { 16, 16, 6, 6}},\r
800 {m68k_op_suba_16_al , 0xf1ff, 0x90f9, { 20, 20, 6, 6}},\r
801 {m68k_op_suba_16_pcdi , 0xf1ff, 0x90fa, { 16, 16, 7, 7}},\r
802 {m68k_op_suba_16_pcix , 0xf1ff, 0x90fb, { 18, 18, 9, 9}},\r
803 {m68k_op_suba_16_i , 0xf1ff, 0x90fc, { 12, 12, 4, 4}},\r
804 {m68k_op_subx_8_mm_ay7 , 0xf1ff, 0x910f, { 18, 18, 12, 12}},\r
805 {m68k_op_sub_8_re_pi7 , 0xf1ff, 0x911f, { 12, 12, 8, 8}},\r
806 {m68k_op_sub_8_re_pd7 , 0xf1ff, 0x9127, { 14, 14, 9, 9}},\r
807 {m68k_op_sub_8_re_aw , 0xf1ff, 0x9138, { 16, 16, 8, 8}},\r
808 {m68k_op_sub_8_re_al , 0xf1ff, 0x9139, { 20, 20, 8, 8}},\r
809 {m68k_op_sub_16_re_aw , 0xf1ff, 0x9178, { 16, 16, 8, 8}},\r
810 {m68k_op_sub_16_re_al , 0xf1ff, 0x9179, { 20, 20, 8, 8}},\r
811 {m68k_op_sub_32_re_aw , 0xf1ff, 0x91b8, { 24, 24, 8, 8}},\r
812 {m68k_op_sub_32_re_al , 0xf1ff, 0x91b9, { 28, 28, 8, 8}},\r
813 {m68k_op_suba_32_aw , 0xf1ff, 0x91f8, { 18, 18, 6, 6}},\r
814 {m68k_op_suba_32_al , 0xf1ff, 0x91f9, { 22, 22, 6, 6}},\r
815 {m68k_op_suba_32_pcdi , 0xf1ff, 0x91fa, { 18, 18, 7, 7}},\r
816 {m68k_op_suba_32_pcix , 0xf1ff, 0x91fb, { 20, 20, 9, 9}},\r
817 {m68k_op_suba_32_i , 0xf1ff, 0x91fc, { 16, 14, 6, 6}},\r
818 {m68k_op_cmp_8_pi7 , 0xf1ff, 0xb01f, { 8, 8, 6, 6}},\r
819 {m68k_op_cmp_8_pd7 , 0xf1ff, 0xb027, { 10, 10, 7, 7}},\r
820 {m68k_op_cmp_8_aw , 0xf1ff, 0xb038, { 12, 12, 6, 6}},\r
821 {m68k_op_cmp_8_al , 0xf1ff, 0xb039, { 16, 16, 6, 6}},\r
822 {m68k_op_cmp_8_pcdi , 0xf1ff, 0xb03a, { 12, 12, 7, 7}},\r
823 {m68k_op_cmp_8_pcix , 0xf1ff, 0xb03b, { 14, 14, 9, 9}},\r
824 {m68k_op_cmp_8_i , 0xf1ff, 0xb03c, { 8, 8, 4, 4}},\r
825 {m68k_op_cmp_16_aw , 0xf1ff, 0xb078, { 12, 12, 6, 6}},\r
826 {m68k_op_cmp_16_al , 0xf1ff, 0xb079, { 16, 16, 6, 6}},\r
827 {m68k_op_cmp_16_pcdi , 0xf1ff, 0xb07a, { 12, 12, 7, 7}},\r
828 {m68k_op_cmp_16_pcix , 0xf1ff, 0xb07b, { 14, 14, 9, 9}},\r
829 {m68k_op_cmp_16_i , 0xf1ff, 0xb07c, { 8, 8, 4, 4}},\r
830 {m68k_op_cmp_32_aw , 0xf1ff, 0xb0b8, { 18, 18, 6, 6}},\r
831 {m68k_op_cmp_32_al , 0xf1ff, 0xb0b9, { 22, 22, 6, 6}},\r
832 {m68k_op_cmp_32_pcdi , 0xf1ff, 0xb0ba, { 18, 18, 7, 7}},\r
833 {m68k_op_cmp_32_pcix , 0xf1ff, 0xb0bb, { 20, 20, 9, 9}},\r
834 {m68k_op_cmp_32_i , 0xf1ff, 0xb0bc, { 14, 14, 6, 6}},\r
835 {m68k_op_cmpa_16_aw , 0xf1ff, 0xb0f8, { 14, 14, 8, 8}},\r
836 {m68k_op_cmpa_16_al , 0xf1ff, 0xb0f9, { 18, 18, 8, 8}},\r
837 {m68k_op_cmpa_16_pcdi , 0xf1ff, 0xb0fa, { 14, 14, 9, 9}},\r
838 {m68k_op_cmpa_16_pcix , 0xf1ff, 0xb0fb, { 16, 16, 11, 11}},\r
839 {m68k_op_cmpa_16_i , 0xf1ff, 0xb0fc, { 10, 10, 6, 6}},\r
840 {m68k_op_cmpm_8_ay7 , 0xf1ff, 0xb10f, { 12, 12, 9, 9}},\r
841 {m68k_op_eor_8_pi7 , 0xf1ff, 0xb11f, { 12, 12, 8, 8}},\r
842 {m68k_op_eor_8_pd7 , 0xf1ff, 0xb127, { 14, 14, 9, 9}},\r
843 {m68k_op_eor_8_aw , 0xf1ff, 0xb138, { 16, 16, 8, 8}},\r
844 {m68k_op_eor_8_al , 0xf1ff, 0xb139, { 20, 20, 8, 8}},\r
845 {m68k_op_eor_16_aw , 0xf1ff, 0xb178, { 16, 16, 8, 8}},\r
846 {m68k_op_eor_16_al , 0xf1ff, 0xb179, { 20, 20, 8, 8}},\r
847 {m68k_op_eor_32_aw , 0xf1ff, 0xb1b8, { 24, 24, 8, 8}},\r
848 {m68k_op_eor_32_al , 0xf1ff, 0xb1b9, { 28, 28, 8, 8}},\r
849 {m68k_op_cmpa_32_aw , 0xf1ff, 0xb1f8, { 18, 18, 8, 8}},\r
850 {m68k_op_cmpa_32_al , 0xf1ff, 0xb1f9, { 22, 22, 8, 8}},\r
851 {m68k_op_cmpa_32_pcdi , 0xf1ff, 0xb1fa, { 18, 18, 9, 9}},\r
852 {m68k_op_cmpa_32_pcix , 0xf1ff, 0xb1fb, { 20, 20, 11, 11}},\r
853 {m68k_op_cmpa_32_i , 0xf1ff, 0xb1fc, { 14, 14, 8, 8}},\r
854 {m68k_op_and_8_er_pi7 , 0xf1ff, 0xc01f, { 8, 8, 6, 6}},\r
855 {m68k_op_and_8_er_pd7 , 0xf1ff, 0xc027, { 10, 10, 7, 7}},\r
856 {m68k_op_and_8_er_aw , 0xf1ff, 0xc038, { 12, 12, 6, 6}},\r
857 {m68k_op_and_8_er_al , 0xf1ff, 0xc039, { 16, 16, 6, 6}},\r
858 {m68k_op_and_8_er_pcdi , 0xf1ff, 0xc03a, { 12, 12, 7, 7}},\r
859 {m68k_op_and_8_er_pcix , 0xf1ff, 0xc03b, { 14, 14, 9, 9}},\r
860 {m68k_op_and_8_er_i , 0xf1ff, 0xc03c, { 8, 8, 4, 4}},\r
861 {m68k_op_and_16_er_aw , 0xf1ff, 0xc078, { 12, 12, 6, 6}},\r
862 {m68k_op_and_16_er_al , 0xf1ff, 0xc079, { 16, 16, 6, 6}},\r
863 {m68k_op_and_16_er_pcdi , 0xf1ff, 0xc07a, { 12, 12, 7, 7}},\r
864 {m68k_op_and_16_er_pcix , 0xf1ff, 0xc07b, { 14, 14, 9, 9}},\r
865 {m68k_op_and_16_er_i , 0xf1ff, 0xc07c, { 8, 8, 4, 4}},\r
866 {m68k_op_and_32_er_aw , 0xf1ff, 0xc0b8, { 18, 18, 6, 6}},\r
867 {m68k_op_and_32_er_al , 0xf1ff, 0xc0b9, { 22, 22, 6, 6}},\r
868 {m68k_op_and_32_er_pcdi , 0xf1ff, 0xc0ba, { 18, 18, 7, 7}},\r
869 {m68k_op_and_32_er_pcix , 0xf1ff, 0xc0bb, { 20, 20, 9, 9}},\r
870 {m68k_op_and_32_er_i , 0xf1ff, 0xc0bc, { 16, 14, 6, 6}},\r
871 {m68k_op_mulu_16_aw , 0xf1ff, 0xc0f8, { 62, 38, 31, 31}},\r
872 {m68k_op_mulu_16_al , 0xf1ff, 0xc0f9, { 66, 42, 31, 31}},\r
873 {m68k_op_mulu_16_pcdi , 0xf1ff, 0xc0fa, { 62, 38, 32, 32}},\r
874 {m68k_op_mulu_16_pcix , 0xf1ff, 0xc0fb, { 64, 40, 34, 34}},\r
875 {m68k_op_mulu_16_i , 0xf1ff, 0xc0fc, { 58, 34, 29, 29}},\r
876 {m68k_op_abcd_8_mm_ay7 , 0xf1ff, 0xc10f, { 18, 18, 16, 16}},\r
877 {m68k_op_and_8_re_pi7 , 0xf1ff, 0xc11f, { 12, 12, 8, 8}},\r
878 {m68k_op_and_8_re_pd7 , 0xf1ff, 0xc127, { 14, 14, 9, 9}},\r
879 {m68k_op_and_8_re_aw , 0xf1ff, 0xc138, { 16, 16, 8, 8}},\r
880 {m68k_op_and_8_re_al , 0xf1ff, 0xc139, { 20, 20, 8, 8}},\r
881 {m68k_op_and_16_re_aw , 0xf1ff, 0xc178, { 16, 16, 8, 8}},\r
882 {m68k_op_and_16_re_al , 0xf1ff, 0xc179, { 20, 20, 8, 8}},\r
883 {m68k_op_and_32_re_aw , 0xf1ff, 0xc1b8, { 24, 24, 8, 8}},\r
884 {m68k_op_and_32_re_al , 0xf1ff, 0xc1b9, { 28, 28, 8, 8}},\r
885 {m68k_op_muls_16_aw , 0xf1ff, 0xc1f8, { 62, 40, 31, 31}},\r
886 {m68k_op_muls_16_al , 0xf1ff, 0xc1f9, { 66, 44, 31, 31}},\r
887 {m68k_op_muls_16_pcdi , 0xf1ff, 0xc1fa, { 62, 40, 32, 32}},\r
888 {m68k_op_muls_16_pcix , 0xf1ff, 0xc1fb, { 64, 42, 34, 34}},\r
889 {m68k_op_muls_16_i , 0xf1ff, 0xc1fc, { 58, 36, 29, 29}},\r
890 {m68k_op_add_8_er_pi7 , 0xf1ff, 0xd01f, { 8, 8, 6, 6}},\r
891 {m68k_op_add_8_er_pd7 , 0xf1ff, 0xd027, { 10, 10, 7, 7}},\r
892 {m68k_op_add_8_er_aw , 0xf1ff, 0xd038, { 12, 12, 6, 6}},\r
893 {m68k_op_add_8_er_al , 0xf1ff, 0xd039, { 16, 16, 6, 6}},\r
894 {m68k_op_add_8_er_pcdi , 0xf1ff, 0xd03a, { 12, 12, 7, 7}},\r
895 {m68k_op_add_8_er_pcix , 0xf1ff, 0xd03b, { 14, 14, 9, 9}},\r
896 {m68k_op_add_8_er_i , 0xf1ff, 0xd03c, { 8, 8, 4, 4}},\r
897 {m68k_op_add_16_er_aw , 0xf1ff, 0xd078, { 12, 12, 6, 6}},\r
898 {m68k_op_add_16_er_al , 0xf1ff, 0xd079, { 16, 16, 6, 6}},\r
899 {m68k_op_add_16_er_pcdi , 0xf1ff, 0xd07a, { 12, 12, 7, 7}},\r
900 {m68k_op_add_16_er_pcix , 0xf1ff, 0xd07b, { 14, 14, 9, 9}},\r
901 {m68k_op_add_16_er_i , 0xf1ff, 0xd07c, { 8, 8, 4, 4}},\r
902 {m68k_op_add_32_er_aw , 0xf1ff, 0xd0b8, { 18, 18, 6, 6}},\r
903 {m68k_op_add_32_er_al , 0xf1ff, 0xd0b9, { 22, 22, 6, 6}},\r
904 {m68k_op_add_32_er_pcdi , 0xf1ff, 0xd0ba, { 18, 18, 7, 7}},\r
905 {m68k_op_add_32_er_pcix , 0xf1ff, 0xd0bb, { 20, 20, 9, 9}},\r
906 {m68k_op_add_32_er_i , 0xf1ff, 0xd0bc, { 16, 14, 6, 6}},\r
907 {m68k_op_adda_16_aw , 0xf1ff, 0xd0f8, { 16, 16, 6, 6}},\r
908 {m68k_op_adda_16_al , 0xf1ff, 0xd0f9, { 20, 20, 6, 6}},\r
909 {m68k_op_adda_16_pcdi , 0xf1ff, 0xd0fa, { 16, 16, 7, 7}},\r
910 {m68k_op_adda_16_pcix , 0xf1ff, 0xd0fb, { 18, 18, 9, 9}},\r
911 {m68k_op_adda_16_i , 0xf1ff, 0xd0fc, { 12, 12, 4, 4}},\r
912 {m68k_op_addx_8_mm_ay7 , 0xf1ff, 0xd10f, { 18, 18, 12, 12}},\r
913 {m68k_op_add_8_re_pi7 , 0xf1ff, 0xd11f, { 12, 12, 8, 8}},\r
914 {m68k_op_add_8_re_pd7 , 0xf1ff, 0xd127, { 14, 14, 9, 9}},\r
915 {m68k_op_add_8_re_aw , 0xf1ff, 0xd138, { 16, 16, 8, 8}},\r
916 {m68k_op_add_8_re_al , 0xf1ff, 0xd139, { 20, 20, 8, 8}},\r
917 {m68k_op_add_16_re_aw , 0xf1ff, 0xd178, { 16, 16, 8, 8}},\r
918 {m68k_op_add_16_re_al , 0xf1ff, 0xd179, { 20, 20, 8, 8}},\r
919 {m68k_op_add_32_re_aw , 0xf1ff, 0xd1b8, { 24, 24, 8, 8}},\r
920 {m68k_op_add_32_re_al , 0xf1ff, 0xd1b9, { 28, 28, 8, 8}},\r
921 {m68k_op_adda_32_aw , 0xf1ff, 0xd1f8, { 18, 18, 6, 6}},\r
922 {m68k_op_adda_32_al , 0xf1ff, 0xd1f9, { 22, 22, 6, 6}},\r
923 {m68k_op_adda_32_pcdi , 0xf1ff, 0xd1fa, { 18, 18, 7, 7}},\r
924 {m68k_op_adda_32_pcix , 0xf1ff, 0xd1fb, { 20, 20, 9, 9}},\r
925 {m68k_op_adda_32_i , 0xf1ff, 0xd1fc, { 16, 14, 6, 6}},\r
926 {m68k_op_ori_8_d , 0xfff8, 0x0000, { 8, 8, 2, 2}},\r
927 {m68k_op_ori_8_ai , 0xfff8, 0x0010, { 16, 16, 8, 8}},\r
928 {m68k_op_ori_8_pi , 0xfff8, 0x0018, { 16, 16, 8, 8}},\r
929 {m68k_op_ori_8_pd , 0xfff8, 0x0020, { 18, 18, 9, 9}},\r
930 {m68k_op_ori_8_di , 0xfff8, 0x0028, { 20, 20, 9, 9}},\r
931 {m68k_op_ori_8_ix , 0xfff8, 0x0030, { 22, 22, 11, 11}},\r
932 {m68k_op_ori_16_d , 0xfff8, 0x0040, { 8, 8, 2, 2}},\r
933 {m68k_op_ori_16_ai , 0xfff8, 0x0050, { 16, 16, 8, 8}},\r
934 {m68k_op_ori_16_pi , 0xfff8, 0x0058, { 16, 16, 8, 8}},\r
935 {m68k_op_ori_16_pd , 0xfff8, 0x0060, { 18, 18, 9, 9}},\r
936 {m68k_op_ori_16_di , 0xfff8, 0x0068, { 20, 20, 9, 9}},\r
937 {m68k_op_ori_16_ix , 0xfff8, 0x0070, { 22, 22, 11, 11}},\r
938 {m68k_op_ori_32_d , 0xfff8, 0x0080, { 16, 14, 2, 2}},\r
939 {m68k_op_ori_32_ai , 0xfff8, 0x0090, { 28, 28, 8, 8}},\r
940 {m68k_op_ori_32_pi , 0xfff8, 0x0098, { 28, 28, 8, 8}},\r
941 {m68k_op_ori_32_pd , 0xfff8, 0x00a0, { 30, 30, 9, 9}},\r
942 {m68k_op_ori_32_di , 0xfff8, 0x00a8, { 32, 32, 9, 9}},\r
943 {m68k_op_ori_32_ix , 0xfff8, 0x00b0, { 34, 34, 11, 11}},\r
944 {m68k_op_chk2cmp2_8_ai , 0xfff8, 0x00d0, { 0, 0, 22, 22}},\r
945 {m68k_op_chk2cmp2_8_di , 0xfff8, 0x00e8, { 0, 0, 23, 23}},\r
946 {m68k_op_chk2cmp2_8_ix , 0xfff8, 0x00f0, { 0, 0, 25, 25}},\r
947 {m68k_op_andi_8_d , 0xfff8, 0x0200, { 8, 8, 2, 2}},\r
948 {m68k_op_andi_8_ai , 0xfff8, 0x0210, { 16, 16, 8, 8}},\r
949 {m68k_op_andi_8_pi , 0xfff8, 0x0218, { 16, 16, 8, 8}},\r
950 {m68k_op_andi_8_pd , 0xfff8, 0x0220, { 18, 18, 9, 9}},\r
951 {m68k_op_andi_8_di , 0xfff8, 0x0228, { 20, 20, 9, 9}},\r
952 {m68k_op_andi_8_ix , 0xfff8, 0x0230, { 22, 22, 11, 11}},\r
953 {m68k_op_andi_16_d , 0xfff8, 0x0240, { 8, 8, 2, 2}},\r
954 {m68k_op_andi_16_ai , 0xfff8, 0x0250, { 16, 16, 8, 8}},\r
955 {m68k_op_andi_16_pi , 0xfff8, 0x0258, { 16, 16, 8, 8}},\r
956 {m68k_op_andi_16_pd , 0xfff8, 0x0260, { 18, 18, 9, 9}},\r
957 {m68k_op_andi_16_di , 0xfff8, 0x0268, { 20, 20, 9, 9}},\r
958 {m68k_op_andi_16_ix , 0xfff8, 0x0270, { 22, 22, 11, 11}},\r
959 {m68k_op_andi_32_d , 0xfff8, 0x0280, { 14, 14, 2, 2}},\r
960 {m68k_op_andi_32_ai , 0xfff8, 0x0290, { 28, 28, 8, 8}},\r
961 {m68k_op_andi_32_pi , 0xfff8, 0x0298, { 28, 28, 8, 8}},\r
962 {m68k_op_andi_32_pd , 0xfff8, 0x02a0, { 30, 30, 9, 9}},\r
963 {m68k_op_andi_32_di , 0xfff8, 0x02a8, { 32, 32, 9, 9}},\r
964 {m68k_op_andi_32_ix , 0xfff8, 0x02b0, { 34, 34, 11, 11}},\r
965 {m68k_op_chk2cmp2_16_ai , 0xfff8, 0x02d0, { 0, 0, 22, 22}},\r
966 {m68k_op_chk2cmp2_16_di , 0xfff8, 0x02e8, { 0, 0, 23, 23}},\r
967 {m68k_op_chk2cmp2_16_ix , 0xfff8, 0x02f0, { 0, 0, 25, 25}},\r
968 {m68k_op_subi_8_d , 0xfff8, 0x0400, { 8, 8, 2, 2}},\r
969 {m68k_op_subi_8_ai , 0xfff8, 0x0410, { 16, 16, 8, 8}},\r
970 {m68k_op_subi_8_pi , 0xfff8, 0x0418, { 16, 16, 8, 8}},\r
971 {m68k_op_subi_8_pd , 0xfff8, 0x0420, { 18, 18, 9, 9}},\r
972 {m68k_op_subi_8_di , 0xfff8, 0x0428, { 20, 20, 9, 9}},\r
973 {m68k_op_subi_8_ix , 0xfff8, 0x0430, { 22, 22, 11, 11}},\r
974 {m68k_op_subi_16_d , 0xfff8, 0x0440, { 8, 8, 2, 2}},\r
975 {m68k_op_subi_16_ai , 0xfff8, 0x0450, { 16, 16, 8, 8}},\r
976 {m68k_op_subi_16_pi , 0xfff8, 0x0458, { 16, 16, 8, 8}},\r
977 {m68k_op_subi_16_pd , 0xfff8, 0x0460, { 18, 18, 9, 9}},\r
978 {m68k_op_subi_16_di , 0xfff8, 0x0468, { 20, 20, 9, 9}},\r
979 {m68k_op_subi_16_ix , 0xfff8, 0x0470, { 22, 22, 11, 11}},\r
980 {m68k_op_subi_32_d , 0xfff8, 0x0480, { 16, 14, 2, 2}},\r
981 {m68k_op_subi_32_ai , 0xfff8, 0x0490, { 28, 28, 8, 8}},\r
982 {m68k_op_subi_32_pi , 0xfff8, 0x0498, { 28, 28, 8, 8}},\r
983 {m68k_op_subi_32_pd , 0xfff8, 0x04a0, { 30, 30, 9, 9}},\r
984 {m68k_op_subi_32_di , 0xfff8, 0x04a8, { 32, 32, 9, 9}},\r
985 {m68k_op_subi_32_ix , 0xfff8, 0x04b0, { 34, 34, 11, 11}},\r
986 {m68k_op_chk2cmp2_32_ai , 0xfff8, 0x04d0, { 0, 0, 22, 22}},\r
987 {m68k_op_chk2cmp2_32_di , 0xfff8, 0x04e8, { 0, 0, 23, 23}},\r
988 {m68k_op_chk2cmp2_32_ix , 0xfff8, 0x04f0, { 0, 0, 25, 25}},\r
989 {m68k_op_addi_8_d , 0xfff8, 0x0600, { 8, 8, 2, 2}},\r
990 {m68k_op_addi_8_ai , 0xfff8, 0x0610, { 16, 16, 8, 8}},\r
991 {m68k_op_addi_8_pi , 0xfff8, 0x0618, { 16, 16, 8, 8}},\r
992 {m68k_op_addi_8_pd , 0xfff8, 0x0620, { 18, 18, 9, 9}},\r
993 {m68k_op_addi_8_di , 0xfff8, 0x0628, { 20, 20, 9, 9}},\r
994 {m68k_op_addi_8_ix , 0xfff8, 0x0630, { 22, 22, 11, 11}},\r
995 {m68k_op_addi_16_d , 0xfff8, 0x0640, { 8, 8, 2, 2}},\r
996 {m68k_op_addi_16_ai , 0xfff8, 0x0650, { 16, 16, 8, 8}},\r
997 {m68k_op_addi_16_pi , 0xfff8, 0x0658, { 16, 16, 8, 8}},\r
998 {m68k_op_addi_16_pd , 0xfff8, 0x0660, { 18, 18, 9, 9}},\r
999 {m68k_op_addi_16_di , 0xfff8, 0x0668, { 20, 20, 9, 9}},\r
1000 {m68k_op_addi_16_ix , 0xfff8, 0x0670, { 22, 22, 11, 11}},\r
1001 {m68k_op_addi_32_d , 0xfff8, 0x0680, { 16, 14, 2, 2}},\r
1002 {m68k_op_addi_32_ai , 0xfff8, 0x0690, { 28, 28, 8, 8}},\r
1003 {m68k_op_addi_32_pi , 0xfff8, 0x0698, { 28, 28, 8, 8}},\r
1004 {m68k_op_addi_32_pd , 0xfff8, 0x06a0, { 30, 30, 9, 9}},\r
1005 {m68k_op_addi_32_di , 0xfff8, 0x06a8, { 32, 32, 9, 9}},\r
1006 {m68k_op_addi_32_ix , 0xfff8, 0x06b0, { 34, 34, 11, 11}},\r
1007 {m68k_op_callm_32_ai , 0xfff8, 0x06d0, { 0, 0, 64, 64}},\r
1008 {m68k_op_callm_32_di , 0xfff8, 0x06e8, { 0, 0, 65, 65}},\r
1009 {m68k_op_callm_32_ix , 0xfff8, 0x06f0, { 0, 0, 67, 67}},\r
1010 {m68k_op_btst_32_s_d , 0xfff8, 0x0800, { 10, 10, 4, 4}},\r
1011 {m68k_op_btst_8_s_ai , 0xfff8, 0x0810, { 12, 12, 8, 8}},\r
1012 {m68k_op_btst_8_s_pi , 0xfff8, 0x0818, { 12, 12, 8, 8}},\r
1013 {m68k_op_btst_8_s_pd , 0xfff8, 0x0820, { 14, 14, 9, 9}},\r
1014 {m68k_op_btst_8_s_di , 0xfff8, 0x0828, { 16, 16, 9, 9}},\r
1015 {m68k_op_btst_8_s_ix , 0xfff8, 0x0830, { 18, 18, 11, 11}},\r
1016 {m68k_op_bchg_32_s_d , 0xfff8, 0x0840, { 12, 12, 4, 4}},\r
1017 {m68k_op_bchg_8_s_ai , 0xfff8, 0x0850, { 16, 16, 8, 8}},\r
1018 {m68k_op_bchg_8_s_pi , 0xfff8, 0x0858, { 16, 16, 8, 8}},\r
1019 {m68k_op_bchg_8_s_pd , 0xfff8, 0x0860, { 18, 18, 9, 9}},\r
1020 {m68k_op_bchg_8_s_di , 0xfff8, 0x0868, { 20, 20, 9, 9}},\r
1021 {m68k_op_bchg_8_s_ix , 0xfff8, 0x0870, { 22, 22, 11, 11}},\r
1022 {m68k_op_bclr_32_s_d , 0xfff8, 0x0880, { 14, 14, 4, 4}},\r
1023 {m68k_op_bclr_8_s_ai , 0xfff8, 0x0890, { 16, 16, 8, 8}},\r
1024 {m68k_op_bclr_8_s_pi , 0xfff8, 0x0898, { 16, 16, 8, 8}},\r
1025 {m68k_op_bclr_8_s_pd , 0xfff8, 0x08a0, { 18, 18, 9, 9}},\r
1026 {m68k_op_bclr_8_s_di , 0xfff8, 0x08a8, { 20, 20, 9, 9}},\r
1027 {m68k_op_bclr_8_s_ix , 0xfff8, 0x08b0, { 22, 22, 11, 11}},\r
1028 {m68k_op_bset_32_s_d , 0xfff8, 0x08c0, { 12, 12, 4, 4}},\r
1029 {m68k_op_bset_8_s_ai , 0xfff8, 0x08d0, { 16, 16, 8, 8}},\r
1030 {m68k_op_bset_8_s_pi , 0xfff8, 0x08d8, { 16, 16, 8, 8}},\r
1031 {m68k_op_bset_8_s_pd , 0xfff8, 0x08e0, { 18, 18, 9, 9}},\r
1032 {m68k_op_bset_8_s_di , 0xfff8, 0x08e8, { 20, 20, 9, 9}},\r
1033 {m68k_op_bset_8_s_ix , 0xfff8, 0x08f0, { 22, 22, 11, 11}},\r
1034 {m68k_op_eori_8_d , 0xfff8, 0x0a00, { 8, 8, 2, 2}},\r
1035 {m68k_op_eori_8_ai , 0xfff8, 0x0a10, { 16, 16, 8, 8}},\r
1036 {m68k_op_eori_8_pi , 0xfff8, 0x0a18, { 16, 16, 8, 8}},\r
1037 {m68k_op_eori_8_pd , 0xfff8, 0x0a20, { 18, 18, 9, 9}},\r
1038 {m68k_op_eori_8_di , 0xfff8, 0x0a28, { 20, 20, 9, 9}},\r
1039 {m68k_op_eori_8_ix , 0xfff8, 0x0a30, { 22, 22, 11, 11}},\r
1040 {m68k_op_eori_16_d , 0xfff8, 0x0a40, { 8, 8, 2, 2}},\r
1041 {m68k_op_eori_16_ai , 0xfff8, 0x0a50, { 16, 16, 8, 8}},\r
1042 {m68k_op_eori_16_pi , 0xfff8, 0x0a58, { 16, 16, 8, 8}},\r
1043 {m68k_op_eori_16_pd , 0xfff8, 0x0a60, { 18, 18, 9, 9}},\r
1044 {m68k_op_eori_16_di , 0xfff8, 0x0a68, { 20, 20, 9, 9}},\r
1045 {m68k_op_eori_16_ix , 0xfff8, 0x0a70, { 22, 22, 11, 11}},\r
1046 {m68k_op_eori_32_d , 0xfff8, 0x0a80, { 16, 14, 2, 2}},\r
1047 {m68k_op_eori_32_ai , 0xfff8, 0x0a90, { 28, 28, 8, 8}},\r
1048 {m68k_op_eori_32_pi , 0xfff8, 0x0a98, { 28, 28, 8, 8}},\r
1049 {m68k_op_eori_32_pd , 0xfff8, 0x0aa0, { 30, 30, 9, 9}},\r
1050 {m68k_op_eori_32_di , 0xfff8, 0x0aa8, { 32, 32, 9, 9}},\r
1051 {m68k_op_eori_32_ix , 0xfff8, 0x0ab0, { 34, 34, 11, 11}},\r
1052 {m68k_op_cas_8_ai , 0xfff8, 0x0ad0, { 0, 0, 16, 16}},\r
1053 {m68k_op_cas_8_pi , 0xfff8, 0x0ad8, { 0, 0, 16, 16}},\r
1054 {m68k_op_cas_8_pd , 0xfff8, 0x0ae0, { 0, 0, 17, 17}},\r
1055 {m68k_op_cas_8_di , 0xfff8, 0x0ae8, { 0, 0, 17, 17}},\r
1056 {m68k_op_cas_8_ix , 0xfff8, 0x0af0, { 0, 0, 19, 19}},\r
1057 {m68k_op_cmpi_8_d , 0xfff8, 0x0c00, { 8, 8, 2, 2}},\r
1058 {m68k_op_cmpi_8_ai , 0xfff8, 0x0c10, { 12, 12, 6, 6}},\r
1059 {m68k_op_cmpi_8_pi , 0xfff8, 0x0c18, { 12, 12, 6, 6}},\r
1060 {m68k_op_cmpi_8_pd , 0xfff8, 0x0c20, { 14, 14, 7, 7}},\r
1061 {m68k_op_cmpi_8_di , 0xfff8, 0x0c28, { 16, 16, 7, 7}},\r
1062 {m68k_op_cmpi_8_ix , 0xfff8, 0x0c30, { 18, 18, 9, 9}},\r
1063 {m68k_op_cmpi_16_d , 0xfff8, 0x0c40, { 8, 8, 2, 2}},\r
1064 {m68k_op_cmpi_16_ai , 0xfff8, 0x0c50, { 12, 12, 6, 6}},\r
1065 {m68k_op_cmpi_16_pi , 0xfff8, 0x0c58, { 12, 12, 6, 6}},\r
1066 {m68k_op_cmpi_16_pd , 0xfff8, 0x0c60, { 14, 14, 7, 7}},\r
1067 {m68k_op_cmpi_16_di , 0xfff8, 0x0c68, { 16, 16, 7, 7}},\r
1068 {m68k_op_cmpi_16_ix , 0xfff8, 0x0c70, { 18, 18, 9, 9}},\r
1069 {m68k_op_cmpi_32_d , 0xfff8, 0x0c80, { 14, 12, 2, 2}},\r
1070 {m68k_op_cmpi_32_ai , 0xfff8, 0x0c90, { 20, 20, 6, 6}},\r
1071 {m68k_op_cmpi_32_pi , 0xfff8, 0x0c98, { 20, 20, 6, 6}},\r
1072 {m68k_op_cmpi_32_pd , 0xfff8, 0x0ca0, { 22, 22, 7, 7}},\r
1073 {m68k_op_cmpi_32_di , 0xfff8, 0x0ca8, { 24, 24, 7, 7}},\r
1074 {m68k_op_cmpi_32_ix , 0xfff8, 0x0cb0, { 26, 26, 9, 9}},\r
1075 {m68k_op_cas_16_ai , 0xfff8, 0x0cd0, { 0, 0, 16, 16}},\r
1076 {m68k_op_cas_16_pi , 0xfff8, 0x0cd8, { 0, 0, 16, 16}},\r
1077 {m68k_op_cas_16_pd , 0xfff8, 0x0ce0, { 0, 0, 17, 17}},\r
1078 {m68k_op_cas_16_di , 0xfff8, 0x0ce8, { 0, 0, 17, 17}},\r
1079 {m68k_op_cas_16_ix , 0xfff8, 0x0cf0, { 0, 0, 19, 19}},\r
1080 {m68k_op_moves_8_ai , 0xfff8, 0x0e10, { 0, 18, 9, 9}},\r
1081 {m68k_op_moves_8_pi , 0xfff8, 0x0e18, { 0, 18, 9, 9}},\r
1082 {m68k_op_moves_8_pd , 0xfff8, 0x0e20, { 0, 20, 10, 10}},\r
1083 {m68k_op_moves_8_di , 0xfff8, 0x0e28, { 0, 26, 10, 10}},\r
1084 {m68k_op_moves_8_ix , 0xfff8, 0x0e30, { 0, 30, 12, 12}},\r
1085 {m68k_op_moves_16_ai , 0xfff8, 0x0e50, { 0, 18, 9, 9}},\r
1086 {m68k_op_moves_16_pi , 0xfff8, 0x0e58, { 0, 18, 9, 9}},\r
1087 {m68k_op_moves_16_pd , 0xfff8, 0x0e60, { 0, 20, 10, 10}},\r
1088 {m68k_op_moves_16_di , 0xfff8, 0x0e68, { 0, 26, 10, 10}},\r
1089 {m68k_op_moves_16_ix , 0xfff8, 0x0e70, { 0, 30, 12, 12}},\r
1090 {m68k_op_moves_32_ai , 0xfff8, 0x0e90, { 0, 22, 9, 9}},\r
1091 {m68k_op_moves_32_pi , 0xfff8, 0x0e98, { 0, 22, 9, 9}},\r
1092 {m68k_op_moves_32_pd , 0xfff8, 0x0ea0, { 0, 28, 10, 10}},\r
1093 {m68k_op_moves_32_di , 0xfff8, 0x0ea8, { 0, 32, 10, 10}},\r
1094 {m68k_op_moves_32_ix , 0xfff8, 0x0eb0, { 0, 36, 12, 12}},\r
1095 {m68k_op_cas_32_ai , 0xfff8, 0x0ed0, { 0, 0, 16, 16}},\r
1096 {m68k_op_cas_32_pi , 0xfff8, 0x0ed8, { 0, 0, 16, 16}},\r
1097 {m68k_op_cas_32_pd , 0xfff8, 0x0ee0, { 0, 0, 17, 17}},\r
1098 {m68k_op_cas_32_di , 0xfff8, 0x0ee8, { 0, 0, 17, 17}},\r
1099 {m68k_op_cas_32_ix , 0xfff8, 0x0ef0, { 0, 0, 19, 19}},\r
1100 {m68k_op_move_8_aw_d , 0xfff8, 0x11c0, { 12, 12, 4, 4}},\r
1101 {m68k_op_move_8_aw_ai , 0xfff8, 0x11d0, { 16, 16, 8, 8}},\r
1102 {m68k_op_move_8_aw_pi , 0xfff8, 0x11d8, { 16, 16, 8, 8}},\r
1103 {m68k_op_move_8_aw_pd , 0xfff8, 0x11e0, { 18, 18, 9, 9}},\r
1104 {m68k_op_move_8_aw_di , 0xfff8, 0x11e8, { 20, 20, 9, 9}},\r
1105 {m68k_op_move_8_aw_ix , 0xfff8, 0x11f0, { 22, 22, 11, 11}},\r
1106 {m68k_op_move_8_al_d , 0xfff8, 0x13c0, { 16, 16, 6, 6}},\r
1107 {m68k_op_move_8_al_ai , 0xfff8, 0x13d0, { 20, 20, 10, 10}},\r
1108 {m68k_op_move_8_al_pi , 0xfff8, 0x13d8, { 20, 20, 10, 10}},\r
1109 {m68k_op_move_8_al_pd , 0xfff8, 0x13e0, { 22, 22, 11, 11}},\r
1110 {m68k_op_move_8_al_di , 0xfff8, 0x13e8, { 24, 24, 11, 11}},\r
1111 {m68k_op_move_8_al_ix , 0xfff8, 0x13f0, { 26, 26, 13, 13}},\r
1112 {m68k_op_move_8_pi7_d , 0xfff8, 0x1ec0, { 8, 8, 4, 4}},\r
1113 {m68k_op_move_8_pi7_ai , 0xfff8, 0x1ed0, { 12, 12, 8, 8}},\r
1114 {m68k_op_move_8_pi7_pi , 0xfff8, 0x1ed8, { 12, 12, 8, 8}},\r
1115 {m68k_op_move_8_pi7_pd , 0xfff8, 0x1ee0, { 14, 14, 9, 9}},\r
1116 {m68k_op_move_8_pi7_di , 0xfff8, 0x1ee8, { 16, 16, 9, 9}},\r
1117 {m68k_op_move_8_pi7_ix , 0xfff8, 0x1ef0, { 18, 18, 11, 11}},\r
1118 {m68k_op_move_8_pd7_d , 0xfff8, 0x1f00, { 8, 8, 5, 5}},\r
1119 {m68k_op_move_8_pd7_ai , 0xfff8, 0x1f10, { 12, 12, 9, 9}},\r
1120 {m68k_op_move_8_pd7_pi , 0xfff8, 0x1f18, { 12, 12, 9, 9}},\r
1121 {m68k_op_move_8_pd7_pd , 0xfff8, 0x1f20, { 14, 14, 10, 10}},\r
1122 {m68k_op_move_8_pd7_di , 0xfff8, 0x1f28, { 16, 16, 10, 10}},\r
1123 {m68k_op_move_8_pd7_ix , 0xfff8, 0x1f30, { 18, 18, 12, 12}},\r
1124 {m68k_op_move_32_aw_d , 0xfff8, 0x21c0, { 16, 16, 4, 4}},\r
1125 {m68k_op_move_32_aw_a , 0xfff8, 0x21c8, { 16, 16, 4, 4}},\r
1126 {m68k_op_move_32_aw_ai , 0xfff8, 0x21d0, { 24, 24, 8, 8}},\r
1127 {m68k_op_move_32_aw_pi , 0xfff8, 0x21d8, { 24, 24, 8, 8}},\r
1128 {m68k_op_move_32_aw_pd , 0xfff8, 0x21e0, { 26, 26, 9, 9}},\r
1129 {m68k_op_move_32_aw_di , 0xfff8, 0x21e8, { 28, 28, 9, 9}},\r
1130 {m68k_op_move_32_aw_ix , 0xfff8, 0x21f0, { 30, 30, 11, 11}},\r
1131 {m68k_op_move_32_al_d , 0xfff8, 0x23c0, { 20, 20, 6, 6}},\r
1132 {m68k_op_move_32_al_a , 0xfff8, 0x23c8, { 20, 20, 6, 6}},\r
1133 {m68k_op_move_32_al_ai , 0xfff8, 0x23d0, { 28, 28, 10, 10}},\r
1134 {m68k_op_move_32_al_pi , 0xfff8, 0x23d8, { 28, 28, 10, 10}},\r
1135 {m68k_op_move_32_al_pd , 0xfff8, 0x23e0, { 30, 30, 11, 11}},\r
1136 {m68k_op_move_32_al_di , 0xfff8, 0x23e8, { 32, 32, 11, 11}},\r
1137 {m68k_op_move_32_al_ix , 0xfff8, 0x23f0, { 34, 34, 13, 13}},\r
1138 {m68k_op_move_16_aw_d , 0xfff8, 0x31c0, { 12, 12, 4, 4}},\r
1139 {m68k_op_move_16_aw_a , 0xfff8, 0x31c8, { 12, 12, 4, 4}},\r
1140 {m68k_op_move_16_aw_ai , 0xfff8, 0x31d0, { 16, 16, 8, 8}},\r
1141 {m68k_op_move_16_aw_pi , 0xfff8, 0x31d8, { 16, 16, 8, 8}},\r
1142 {m68k_op_move_16_aw_pd , 0xfff8, 0x31e0, { 18, 18, 9, 9}},\r
1143 {m68k_op_move_16_aw_di , 0xfff8, 0x31e8, { 20, 20, 9, 9}},\r
1144 {m68k_op_move_16_aw_ix , 0xfff8, 0x31f0, { 22, 22, 11, 11}},\r
1145 {m68k_op_move_16_al_d , 0xfff8, 0x33c0, { 16, 16, 6, 6}},\r
1146 {m68k_op_move_16_al_a , 0xfff8, 0x33c8, { 16, 16, 6, 6}},\r
1147 {m68k_op_move_16_al_ai , 0xfff8, 0x33d0, { 20, 20, 10, 10}},\r
1148 {m68k_op_move_16_al_pi , 0xfff8, 0x33d8, { 20, 20, 10, 10}},\r
1149 {m68k_op_move_16_al_pd , 0xfff8, 0x33e0, { 22, 22, 11, 11}},\r
1150 {m68k_op_move_16_al_di , 0xfff8, 0x33e8, { 24, 24, 11, 11}},\r
1151 {m68k_op_move_16_al_ix , 0xfff8, 0x33f0, { 26, 26, 13, 13}},\r
1152 {m68k_op_negx_8_d , 0xfff8, 0x4000, { 4, 4, 2, 2}},\r
1153 {m68k_op_negx_8_ai , 0xfff8, 0x4010, { 12, 12, 8, 8}},\r
1154 {m68k_op_negx_8_pi , 0xfff8, 0x4018, { 12, 12, 8, 8}},\r
1155 {m68k_op_negx_8_pd , 0xfff8, 0x4020, { 14, 14, 9, 9}},\r
1156 {m68k_op_negx_8_di , 0xfff8, 0x4028, { 16, 16, 9, 9}},\r
1157 {m68k_op_negx_8_ix , 0xfff8, 0x4030, { 18, 18, 11, 11}},\r
1158 {m68k_op_negx_16_d , 0xfff8, 0x4040, { 4, 4, 2, 2}},\r
1159 {m68k_op_negx_16_ai , 0xfff8, 0x4050, { 12, 12, 8, 8}},\r
1160 {m68k_op_negx_16_pi , 0xfff8, 0x4058, { 12, 12, 8, 8}},\r
1161 {m68k_op_negx_16_pd , 0xfff8, 0x4060, { 14, 14, 9, 9}},\r
1162 {m68k_op_negx_16_di , 0xfff8, 0x4068, { 16, 16, 9, 9}},\r
1163 {m68k_op_negx_16_ix , 0xfff8, 0x4070, { 18, 18, 11, 11}},\r
1164 {m68k_op_negx_32_d , 0xfff8, 0x4080, { 6, 6, 2, 2}},\r
1165 {m68k_op_negx_32_ai , 0xfff8, 0x4090, { 20, 20, 8, 8}},\r
1166 {m68k_op_negx_32_pi , 0xfff8, 0x4098, { 20, 20, 8, 8}},\r
1167 {m68k_op_negx_32_pd , 0xfff8, 0x40a0, { 22, 22, 9, 9}},\r
1168 {m68k_op_negx_32_di , 0xfff8, 0x40a8, { 24, 24, 9, 9}},\r
1169 {m68k_op_negx_32_ix , 0xfff8, 0x40b0, { 26, 26, 11, 11}},\r
1170 {m68k_op_move_16_frs_d , 0xfff8, 0x40c0, { 6, 4, 8, 8}},\r
1171 {m68k_op_move_16_frs_ai , 0xfff8, 0x40d0, { 12, 12, 12, 12}},\r
1172 {m68k_op_move_16_frs_pi , 0xfff8, 0x40d8, { 12, 12, 12, 12}},\r
1173 {m68k_op_move_16_frs_pd , 0xfff8, 0x40e0, { 14, 14, 13, 13}},\r
1174 {m68k_op_move_16_frs_di , 0xfff8, 0x40e8, { 16, 16, 13, 13}},\r
1175 {m68k_op_move_16_frs_ix , 0xfff8, 0x40f0, { 18, 18, 15, 15}},\r
1176 {m68k_op_clr_8_d , 0xfff8, 0x4200, { 4, 4, 2, 2}},\r
1177 {m68k_op_clr_8_ai , 0xfff8, 0x4210, { 10, 8, 8, 8}},\r
1178 {m68k_op_clr_8_pi , 0xfff8, 0x4218, { 10, 8, 8, 8}},\r
1179 {m68k_op_clr_8_pd , 0xfff8, 0x4220, { 12, 10, 9, 9}},\r
1180 {m68k_op_clr_8_di , 0xfff8, 0x4228, { 14, 12, 9, 9}},\r
1181 {m68k_op_clr_8_ix , 0xfff8, 0x4230, { 16, 14, 11, 11}},\r
1182 {m68k_op_clr_16_d , 0xfff8, 0x4240, { 4, 4, 2, 2}},\r
1183 {m68k_op_clr_16_ai , 0xfff8, 0x4250, { 10, 8, 8, 8}},\r
1184 {m68k_op_clr_16_pi , 0xfff8, 0x4258, { 10, 8, 8, 8}},\r
1185 {m68k_op_clr_16_pd , 0xfff8, 0x4260, { 12, 10, 9, 9}},\r
1186 {m68k_op_clr_16_di , 0xfff8, 0x4268, { 14, 12, 9, 9}},\r
1187 {m68k_op_clr_16_ix , 0xfff8, 0x4270, { 16, 14, 11, 11}},\r
1188 {m68k_op_clr_32_d , 0xfff8, 0x4280, { 6, 6, 2, 2}},\r
1189 {m68k_op_clr_32_ai , 0xfff8, 0x4290, { 20, 12, 8, 8}},\r
1190 {m68k_op_clr_32_pi , 0xfff8, 0x4298, { 20, 12, 8, 8}},\r
1191 {m68k_op_clr_32_pd , 0xfff8, 0x42a0, { 22, 14, 9, 9}},\r
1192 {m68k_op_clr_32_di , 0xfff8, 0x42a8, { 24, 16, 9, 9}},\r
1193 {m68k_op_clr_32_ix , 0xfff8, 0x42b0, { 26, 20, 11, 11}},\r
1194 {m68k_op_move_16_frc_d , 0xfff8, 0x42c0, { 0, 4, 4, 4}},\r
1195 {m68k_op_move_16_frc_ai , 0xfff8, 0x42d0, { 0, 12, 8, 8}},\r
1196 {m68k_op_move_16_frc_pi , 0xfff8, 0x42d8, { 0, 12, 8, 8}},\r
1197 {m68k_op_move_16_frc_pd , 0xfff8, 0x42e0, { 0, 14, 9, 9}},\r
1198 {m68k_op_move_16_frc_di , 0xfff8, 0x42e8, { 0, 16, 9, 9}},\r
1199 {m68k_op_move_16_frc_ix , 0xfff8, 0x42f0, { 0, 18, 11, 11}},\r
1200 {m68k_op_neg_8_d , 0xfff8, 0x4400, { 4, 4, 2, 2}},\r
1201 {m68k_op_neg_8_ai , 0xfff8, 0x4410, { 12, 12, 8, 8}},\r
1202 {m68k_op_neg_8_pi , 0xfff8, 0x4418, { 12, 12, 8, 8}},\r
1203 {m68k_op_neg_8_pd , 0xfff8, 0x4420, { 14, 14, 9, 9}},\r
1204 {m68k_op_neg_8_di , 0xfff8, 0x4428, { 16, 16, 9, 9}},\r
1205 {m68k_op_neg_8_ix , 0xfff8, 0x4430, { 18, 18, 11, 11}},\r
1206 {m68k_op_neg_16_d , 0xfff8, 0x4440, { 4, 4, 2, 2}},\r
1207 {m68k_op_neg_16_ai , 0xfff8, 0x4450, { 12, 12, 8, 8}},\r
1208 {m68k_op_neg_16_pi , 0xfff8, 0x4458, { 12, 12, 8, 8}},\r
1209 {m68k_op_neg_16_pd , 0xfff8, 0x4460, { 14, 14, 9, 9}},\r
1210 {m68k_op_neg_16_di , 0xfff8, 0x4468, { 16, 16, 9, 9}},\r
1211 {m68k_op_neg_16_ix , 0xfff8, 0x4470, { 18, 18, 11, 11}},\r
1212 {m68k_op_neg_32_d , 0xfff8, 0x4480, { 6, 6, 2, 2}},\r
1213 {m68k_op_neg_32_ai , 0xfff8, 0x4490, { 20, 20, 8, 8}},\r
1214 {m68k_op_neg_32_pi , 0xfff8, 0x4498, { 20, 20, 8, 8}},\r
1215 {m68k_op_neg_32_pd , 0xfff8, 0x44a0, { 22, 22, 9, 9}},\r
1216 {m68k_op_neg_32_di , 0xfff8, 0x44a8, { 24, 24, 9, 9}},\r
1217 {m68k_op_neg_32_ix , 0xfff8, 0x44b0, { 26, 26, 11, 11}},\r
1218 {m68k_op_move_16_toc_d , 0xfff8, 0x44c0, { 12, 12, 4, 4}},\r
1219 {m68k_op_move_16_toc_ai , 0xfff8, 0x44d0, { 16, 16, 8, 8}},\r
1220 {m68k_op_move_16_toc_pi , 0xfff8, 0x44d8, { 16, 16, 8, 8}},\r
1221 {m68k_op_move_16_toc_pd , 0xfff8, 0x44e0, { 18, 18, 9, 9}},\r
1222 {m68k_op_move_16_toc_di , 0xfff8, 0x44e8, { 20, 20, 9, 9}},\r
1223 {m68k_op_move_16_toc_ix , 0xfff8, 0x44f0, { 22, 22, 11, 11}},\r
1224 {m68k_op_not_8_d , 0xfff8, 0x4600, { 4, 4, 2, 2}},\r
1225 {m68k_op_not_8_ai , 0xfff8, 0x4610, { 12, 12, 8, 8}},\r
1226 {m68k_op_not_8_pi , 0xfff8, 0x4618, { 12, 12, 8, 8}},\r
1227 {m68k_op_not_8_pd , 0xfff8, 0x4620, { 14, 14, 9, 9}},\r
1228 {m68k_op_not_8_di , 0xfff8, 0x4628, { 16, 16, 9, 9}},\r
1229 {m68k_op_not_8_ix , 0xfff8, 0x4630, { 18, 18, 11, 11}},\r
1230 {m68k_op_not_16_d , 0xfff8, 0x4640, { 4, 4, 2, 2}},\r
1231 {m68k_op_not_16_ai , 0xfff8, 0x4650, { 12, 12, 8, 8}},\r
1232 {m68k_op_not_16_pi , 0xfff8, 0x4658, { 12, 12, 8, 8}},\r
1233 {m68k_op_not_16_pd , 0xfff8, 0x4660, { 14, 14, 9, 9}},\r
1234 {m68k_op_not_16_di , 0xfff8, 0x4668, { 16, 16, 9, 9}},\r
1235 {m68k_op_not_16_ix , 0xfff8, 0x4670, { 18, 18, 11, 11}},\r
1236 {m68k_op_not_32_d , 0xfff8, 0x4680, { 6, 6, 2, 2}},\r
1237 {m68k_op_not_32_ai , 0xfff8, 0x4690, { 20, 20, 8, 8}},\r
1238 {m68k_op_not_32_pi , 0xfff8, 0x4698, { 20, 20, 8, 8}},\r
1239 {m68k_op_not_32_pd , 0xfff8, 0x46a0, { 22, 22, 9, 9}},\r
1240 {m68k_op_not_32_di , 0xfff8, 0x46a8, { 24, 24, 9, 9}},\r
1241 {m68k_op_not_32_ix , 0xfff8, 0x46b0, { 26, 26, 11, 11}},\r
1242 {m68k_op_move_16_tos_d , 0xfff8, 0x46c0, { 12, 12, 8, 8}},\r
1243 {m68k_op_move_16_tos_ai , 0xfff8, 0x46d0, { 16, 16, 12, 12}},\r
1244 {m68k_op_move_16_tos_pi , 0xfff8, 0x46d8, { 16, 16, 12, 12}},\r
1245 {m68k_op_move_16_tos_pd , 0xfff8, 0x46e0, { 18, 18, 13, 13}},\r
1246 {m68k_op_move_16_tos_di , 0xfff8, 0x46e8, { 20, 20, 13, 13}},\r
1247 {m68k_op_move_16_tos_ix , 0xfff8, 0x46f0, { 22, 22, 15, 15}},\r
1248 {m68k_op_nbcd_8_d , 0xfff8, 0x4800, { 6, 6, 6, 6}},\r
1249 {m68k_op_link_32 , 0xfff8, 0x4808, { 0, 0, 6, 6}},\r
1250 {m68k_op_nbcd_8_ai , 0xfff8, 0x4810, { 12, 12, 10, 10}},\r
1251 {m68k_op_nbcd_8_pi , 0xfff8, 0x4818, { 12, 12, 10, 10}},\r
1252 {m68k_op_nbcd_8_pd , 0xfff8, 0x4820, { 14, 14, 11, 11}},\r
1253 {m68k_op_nbcd_8_di , 0xfff8, 0x4828, { 16, 16, 11, 11}},\r
1254 {m68k_op_nbcd_8_ix , 0xfff8, 0x4830, { 18, 18, 13, 13}},\r
1255 {m68k_op_swap_32 , 0xfff8, 0x4840, { 4, 4, 4, 4}},\r
1256 {m68k_op_bkpt , 0xfff8, 0x4848, { 0, 10, 10, 10}},\r
1257 {m68k_op_pea_32_ai , 0xfff8, 0x4850, { 12, 12, 9, 9}},\r
1258 {m68k_op_pea_32_di , 0xfff8, 0x4868, { 16, 16, 10, 10}},\r
1259 {m68k_op_pea_32_ix , 0xfff8, 0x4870, { 20, 20, 12, 12}},\r
1260 {m68k_op_ext_16 , 0xfff8, 0x4880, { 4, 4, 4, 4}},\r
1261 {m68k_op_movem_16_re_ai , 0xfff8, 0x4890, { 8, 8, 8, 8}},\r
1262 {m68k_op_movem_16_re_pd , 0xfff8, 0x48a0, { 8, 8, 4, 4}},\r
1263 {m68k_op_movem_16_re_di , 0xfff8, 0x48a8, { 12, 12, 9, 9}},\r
1264 {m68k_op_movem_16_re_ix , 0xfff8, 0x48b0, { 14, 14, 11, 11}},\r
1265 {m68k_op_ext_32 , 0xfff8, 0x48c0, { 4, 4, 4, 4}},\r
1266 {m68k_op_movem_32_re_ai , 0xfff8, 0x48d0, { 8, 8, 8, 8}},\r
1267 {m68k_op_movem_32_re_pd , 0xfff8, 0x48e0, { 8, 8, 4, 4}},\r
1268 {m68k_op_movem_32_re_di , 0xfff8, 0x48e8, { 12, 12, 9, 9}},\r
1269 {m68k_op_movem_32_re_ix , 0xfff8, 0x48f0, { 14, 14, 11, 11}},\r
1270 {m68k_op_extb_32 , 0xfff8, 0x49c0, { 0, 0, 4, 4}},\r
1271 {m68k_op_tst_8_d , 0xfff8, 0x4a00, { 4, 4, 2, 2}},\r
1272 {m68k_op_tst_8_ai , 0xfff8, 0x4a10, { 8, 8, 6, 6}},\r
1273 {m68k_op_tst_8_pi , 0xfff8, 0x4a18, { 8, 8, 6, 6}},\r
1274 {m68k_op_tst_8_pd , 0xfff8, 0x4a20, { 10, 10, 7, 7}},\r
1275 {m68k_op_tst_8_di , 0xfff8, 0x4a28, { 12, 12, 7, 7}},\r
1276 {m68k_op_tst_8_ix , 0xfff8, 0x4a30, { 14, 14, 9, 9}},\r
1277 {m68k_op_tst_16_d , 0xfff8, 0x4a40, { 4, 4, 2, 2}},\r
1278 {m68k_op_tst_16_a , 0xfff8, 0x4a48, { 0, 0, 2, 2}},\r
1279 {m68k_op_tst_16_ai , 0xfff8, 0x4a50, { 8, 8, 6, 6}},\r
1280 {m68k_op_tst_16_pi , 0xfff8, 0x4a58, { 8, 8, 6, 6}},\r
1281 {m68k_op_tst_16_pd , 0xfff8, 0x4a60, { 10, 10, 7, 7}},\r
1282 {m68k_op_tst_16_di , 0xfff8, 0x4a68, { 12, 12, 7, 7}},\r
1283 {m68k_op_tst_16_ix , 0xfff8, 0x4a70, { 14, 14, 9, 9}},\r
1284 {m68k_op_tst_32_d , 0xfff8, 0x4a80, { 4, 4, 2, 2}},\r
1285 {m68k_op_tst_32_a , 0xfff8, 0x4a88, { 0, 0, 2, 2}},\r
1286 {m68k_op_tst_32_ai , 0xfff8, 0x4a90, { 12, 12, 6, 6}},\r
1287 {m68k_op_tst_32_pi , 0xfff8, 0x4a98, { 12, 12, 6, 6}},\r
1288 {m68k_op_tst_32_pd , 0xfff8, 0x4aa0, { 14, 14, 7, 7}},\r
1289 {m68k_op_tst_32_di , 0xfff8, 0x4aa8, { 16, 16, 7, 7}},\r
1290 {m68k_op_tst_32_ix , 0xfff8, 0x4ab0, { 18, 18, 9, 9}},\r
1291 {m68k_op_tas_8_d , 0xfff8, 0x4ac0, { 4, 4, 4, 4}},\r
1292 {m68k_op_tas_8_ai , 0xfff8, 0x4ad0, { 18, 18, 16, 16}},\r
1293 {m68k_op_tas_8_pi , 0xfff8, 0x4ad8, { 18, 18, 16, 16}},\r
1294 {m68k_op_tas_8_pd , 0xfff8, 0x4ae0, { 20, 20, 17, 17}},\r
1295 {m68k_op_tas_8_di , 0xfff8, 0x4ae8, { 22, 22, 17, 17}},\r
1296 {m68k_op_tas_8_ix , 0xfff8, 0x4af0, { 24, 24, 19, 19}},\r
1297 {m68k_op_mull_32_d , 0xfff8, 0x4c00, { 0, 0, 43, 43}},\r
1298 {m68k_op_mull_32_ai , 0xfff8, 0x4c10, { 0, 0, 47, 47}},\r
1299 {m68k_op_mull_32_pi , 0xfff8, 0x4c18, { 0, 0, 47, 47}},\r
1300 {m68k_op_mull_32_pd , 0xfff8, 0x4c20, { 0, 0, 48, 48}},\r
1301 {m68k_op_mull_32_di , 0xfff8, 0x4c28, { 0, 0, 48, 48}},\r
1302 {m68k_op_mull_32_ix , 0xfff8, 0x4c30, { 0, 0, 50, 50}},\r
1303 {m68k_op_divl_32_d , 0xfff8, 0x4c40, { 0, 0, 84, 84}},\r
1304 {m68k_op_divl_32_ai , 0xfff8, 0x4c50, { 0, 0, 88, 88}},\r
1305 {m68k_op_divl_32_pi , 0xfff8, 0x4c58, { 0, 0, 88, 88}},\r
1306 {m68k_op_divl_32_pd , 0xfff8, 0x4c60, { 0, 0, 89, 89}},\r
1307 {m68k_op_divl_32_di , 0xfff8, 0x4c68, { 0, 0, 89, 89}},\r
1308 {m68k_op_divl_32_ix , 0xfff8, 0x4c70, { 0, 0, 91, 91}},\r
1309 {m68k_op_movem_16_er_ai , 0xfff8, 0x4c90, { 12, 12, 12, 12}},\r
1310 {m68k_op_movem_16_er_pi , 0xfff8, 0x4c98, { 12, 12, 8, 8}},\r
1311 {m68k_op_movem_16_er_di , 0xfff8, 0x4ca8, { 16, 16, 13, 13}},\r
1312 {m68k_op_movem_16_er_ix , 0xfff8, 0x4cb0, { 18, 18, 15, 15}},\r
1313 {m68k_op_movem_32_er_ai , 0xfff8, 0x4cd0, { 12, 12, 12, 12}},\r
1314 {m68k_op_movem_32_er_pi , 0xfff8, 0x4cd8, { 12, 12, 8, 8}},\r
1315 {m68k_op_movem_32_er_di , 0xfff8, 0x4ce8, { 16, 16, 13, 13}},\r
1316 {m68k_op_movem_32_er_ix , 0xfff8, 0x4cf0, { 18, 18, 15, 15}},\r
1317 {m68k_op_link_16 , 0xfff8, 0x4e50, { 16, 16, 5, 5}},\r
1318 {m68k_op_unlk_32 , 0xfff8, 0x4e58, { 12, 12, 6, 6}},\r
1319 {m68k_op_move_32_tou , 0xfff8, 0x4e60, { 4, 6, 2, 2}},\r
1320 {m68k_op_move_32_fru , 0xfff8, 0x4e68, { 4, 6, 2, 2}},\r
1321 {m68k_op_jsr_32_ai , 0xfff8, 0x4e90, { 16, 16, 4, 4}},\r
1322 {m68k_op_jsr_32_di , 0xfff8, 0x4ea8, { 18, 18, 5, 5}},\r
1323 {m68k_op_jsr_32_ix , 0xfff8, 0x4eb0, { 22, 22, 7, 7}},\r
1324 {m68k_op_jmp_32_ai , 0xfff8, 0x4ed0, { 8, 8, 4, 4}},\r
1325 {m68k_op_jmp_32_di , 0xfff8, 0x4ee8, { 10, 10, 5, 5}},\r
1326 {m68k_op_jmp_32_ix , 0xfff8, 0x4ef0, { 14, 14, 7, 7}},\r
1327 {m68k_op_st_8_d , 0xfff8, 0x50c0, { 6, 4, 4, 4}},\r
1328 {m68k_op_dbt_16 , 0xfff8, 0x50c8, { 12, 12, 6, 6}},\r
1329 {m68k_op_st_8_ai , 0xfff8, 0x50d0, { 12, 12, 10, 10}},\r
1330 {m68k_op_st_8_pi , 0xfff8, 0x50d8, { 12, 12, 10, 10}},\r
1331 {m68k_op_st_8_pd , 0xfff8, 0x50e0, { 14, 14, 11, 11}},\r
1332 {m68k_op_st_8_di , 0xfff8, 0x50e8, { 16, 16, 11, 11}},\r
1333 {m68k_op_st_8_ix , 0xfff8, 0x50f0, { 18, 18, 13, 13}},\r
1334 {m68k_op_sf_8_d , 0xfff8, 0x51c0, { 4, 4, 4, 4}},\r
1335 {m68k_op_dbf_16 , 0xfff8, 0x51c8, { 12, 12, 6, 6}},\r
1336 {m68k_op_sf_8_ai , 0xfff8, 0x51d0, { 12, 12, 10, 10}},\r
1337 {m68k_op_sf_8_pi , 0xfff8, 0x51d8, { 12, 12, 10, 10}},\r
1338 {m68k_op_sf_8_pd , 0xfff8, 0x51e0, { 14, 14, 11, 11}},\r
1339 {m68k_op_sf_8_di , 0xfff8, 0x51e8, { 16, 16, 11, 11}},\r
1340 {m68k_op_sf_8_ix , 0xfff8, 0x51f0, { 18, 18, 13, 13}},\r
1341 {m68k_op_shi_8_d , 0xfff8, 0x52c0, { 4, 4, 4, 4}},\r
1342 {m68k_op_dbhi_16 , 0xfff8, 0x52c8, { 12, 12, 6, 6}},\r
1343 {m68k_op_shi_8_ai , 0xfff8, 0x52d0, { 12, 12, 10, 10}},\r
1344 {m68k_op_shi_8_pi , 0xfff8, 0x52d8, { 12, 12, 10, 10}},\r
1345 {m68k_op_shi_8_pd , 0xfff8, 0x52e0, { 14, 14, 11, 11}},\r
1346 {m68k_op_shi_8_di , 0xfff8, 0x52e8, { 16, 16, 11, 11}},\r
1347 {m68k_op_shi_8_ix , 0xfff8, 0x52f0, { 18, 18, 13, 13}},\r
1348 {m68k_op_sls_8_d , 0xfff8, 0x53c0, { 4, 4, 4, 4}},\r
1349 {m68k_op_dbls_16 , 0xfff8, 0x53c8, { 12, 12, 6, 6}},\r
1350 {m68k_op_sls_8_ai , 0xfff8, 0x53d0, { 12, 12, 10, 10}},\r
1351 {m68k_op_sls_8_pi , 0xfff8, 0x53d8, { 12, 12, 10, 10}},\r
1352 {m68k_op_sls_8_pd , 0xfff8, 0x53e0, { 14, 14, 11, 11}},\r
1353 {m68k_op_sls_8_di , 0xfff8, 0x53e8, { 16, 16, 11, 11}},\r
1354 {m68k_op_sls_8_ix , 0xfff8, 0x53f0, { 18, 18, 13, 13}},\r
1355 {m68k_op_scc_8_d , 0xfff8, 0x54c0, { 4, 4, 4, 4}},\r
1356 {m68k_op_dbcc_16 , 0xfff8, 0x54c8, { 12, 12, 6, 6}},\r
1357 {m68k_op_scc_8_ai , 0xfff8, 0x54d0, { 12, 12, 10, 10}},\r
1358 {m68k_op_scc_8_pi , 0xfff8, 0x54d8, { 12, 12, 10, 10}},\r
1359 {m68k_op_scc_8_pd , 0xfff8, 0x54e0, { 14, 14, 11, 11}},\r
1360 {m68k_op_scc_8_di , 0xfff8, 0x54e8, { 16, 16, 11, 11}},\r
1361 {m68k_op_scc_8_ix , 0xfff8, 0x54f0, { 18, 18, 13, 13}},\r
1362 {m68k_op_scs_8_d , 0xfff8, 0x55c0, { 4, 4, 4, 4}},\r
1363 {m68k_op_dbcs_16 , 0xfff8, 0x55c8, { 12, 12, 6, 6}},\r
1364 {m68k_op_scs_8_ai , 0xfff8, 0x55d0, { 12, 12, 10, 10}},\r
1365 {m68k_op_scs_8_pi , 0xfff8, 0x55d8, { 12, 12, 10, 10}},\r
1366 {m68k_op_scs_8_pd , 0xfff8, 0x55e0, { 14, 14, 11, 11}},\r
1367 {m68k_op_scs_8_di , 0xfff8, 0x55e8, { 16, 16, 11, 11}},\r
1368 {m68k_op_scs_8_ix , 0xfff8, 0x55f0, { 18, 18, 13, 13}},\r
1369 {m68k_op_sne_8_d , 0xfff8, 0x56c0, { 4, 4, 4, 4}},\r
1370 {m68k_op_dbne_16 , 0xfff8, 0x56c8, { 12, 12, 6, 6}},\r
1371 {m68k_op_sne_8_ai , 0xfff8, 0x56d0, { 12, 12, 10, 10}},\r
1372 {m68k_op_sne_8_pi , 0xfff8, 0x56d8, { 12, 12, 10, 10}},\r
1373 {m68k_op_sne_8_pd , 0xfff8, 0x56e0, { 14, 14, 11, 11}},\r
1374 {m68k_op_sne_8_di , 0xfff8, 0x56e8, { 16, 16, 11, 11}},\r
1375 {m68k_op_sne_8_ix , 0xfff8, 0x56f0, { 18, 18, 13, 13}},\r
1376 {m68k_op_seq_8_d , 0xfff8, 0x57c0, { 4, 4, 4, 4}},\r
1377 {m68k_op_dbeq_16 , 0xfff8, 0x57c8, { 12, 12, 6, 6}},\r
1378 {m68k_op_seq_8_ai , 0xfff8, 0x57d0, { 12, 12, 10, 10}},\r
1379 {m68k_op_seq_8_pi , 0xfff8, 0x57d8, { 12, 12, 10, 10}},\r
1380 {m68k_op_seq_8_pd , 0xfff8, 0x57e0, { 14, 14, 11, 11}},\r
1381 {m68k_op_seq_8_di , 0xfff8, 0x57e8, { 16, 16, 11, 11}},\r
1382 {m68k_op_seq_8_ix , 0xfff8, 0x57f0, { 18, 18, 13, 13}},\r
1383 {m68k_op_svc_8_d , 0xfff8, 0x58c0, { 4, 4, 4, 4}},\r
1384 {m68k_op_dbvc_16 , 0xfff8, 0x58c8, { 12, 12, 6, 6}},\r
1385 {m68k_op_svc_8_ai , 0xfff8, 0x58d0, { 12, 12, 10, 10}},\r
1386 {m68k_op_svc_8_pi , 0xfff8, 0x58d8, { 12, 12, 10, 10}},\r
1387 {m68k_op_svc_8_pd , 0xfff8, 0x58e0, { 14, 14, 11, 11}},\r
1388 {m68k_op_svc_8_di , 0xfff8, 0x58e8, { 16, 16, 11, 11}},\r
1389 {m68k_op_svc_8_ix , 0xfff8, 0x58f0, { 18, 18, 13, 13}},\r
1390 {m68k_op_svs_8_d , 0xfff8, 0x59c0, { 4, 4, 4, 4}},\r
1391 {m68k_op_dbvs_16 , 0xfff8, 0x59c8, { 12, 12, 6, 6}},\r
1392 {m68k_op_svs_8_ai , 0xfff8, 0x59d0, { 12, 12, 10, 10}},\r
1393 {m68k_op_svs_8_pi , 0xfff8, 0x59d8, { 12, 12, 10, 10}},\r
1394 {m68k_op_svs_8_pd , 0xfff8, 0x59e0, { 14, 14, 11, 11}},\r
1395 {m68k_op_svs_8_di , 0xfff8, 0x59e8, { 16, 16, 11, 11}},\r
1396 {m68k_op_svs_8_ix , 0xfff8, 0x59f0, { 18, 18, 13, 13}},\r
1397 {m68k_op_spl_8_d , 0xfff8, 0x5ac0, { 4, 4, 4, 4}},\r
1398 {m68k_op_dbpl_16 , 0xfff8, 0x5ac8, { 12, 12, 6, 6}},\r
1399 {m68k_op_spl_8_ai , 0xfff8, 0x5ad0, { 12, 12, 10, 10}},\r
1400 {m68k_op_spl_8_pi , 0xfff8, 0x5ad8, { 12, 12, 10, 10}},\r
1401 {m68k_op_spl_8_pd , 0xfff8, 0x5ae0, { 14, 14, 11, 11}},\r
1402 {m68k_op_spl_8_di , 0xfff8, 0x5ae8, { 16, 16, 11, 11}},\r
1403 {m68k_op_spl_8_ix , 0xfff8, 0x5af0, { 18, 18, 13, 13}},\r
1404 {m68k_op_smi_8_d , 0xfff8, 0x5bc0, { 4, 4, 4, 4}},\r
1405 {m68k_op_dbmi_16 , 0xfff8, 0x5bc8, { 12, 12, 6, 6}},\r
1406 {m68k_op_smi_8_ai , 0xfff8, 0x5bd0, { 12, 12, 10, 10}},\r
1407 {m68k_op_smi_8_pi , 0xfff8, 0x5bd8, { 12, 12, 10, 10}},\r
1408 {m68k_op_smi_8_pd , 0xfff8, 0x5be0, { 14, 14, 11, 11}},\r
1409 {m68k_op_smi_8_di , 0xfff8, 0x5be8, { 16, 16, 11, 11}},\r
1410 {m68k_op_smi_8_ix , 0xfff8, 0x5bf0, { 18, 18, 13, 13}},\r
1411 {m68k_op_sge_8_d , 0xfff8, 0x5cc0, { 4, 4, 4, 4}},\r
1412 {m68k_op_dbge_16 , 0xfff8, 0x5cc8, { 12, 12, 6, 6}},\r
1413 {m68k_op_sge_8_ai , 0xfff8, 0x5cd0, { 12, 12, 10, 10}},\r
1414 {m68k_op_sge_8_pi , 0xfff8, 0x5cd8, { 12, 12, 10, 10}},\r
1415 {m68k_op_sge_8_pd , 0xfff8, 0x5ce0, { 14, 14, 11, 11}},\r
1416 {m68k_op_sge_8_di , 0xfff8, 0x5ce8, { 16, 16, 11, 11}},\r
1417 {m68k_op_sge_8_ix , 0xfff8, 0x5cf0, { 18, 18, 13, 13}},\r
1418 {m68k_op_slt_8_d , 0xfff8, 0x5dc0, { 4, 4, 4, 4}},\r
1419 {m68k_op_dblt_16 , 0xfff8, 0x5dc8, { 12, 12, 6, 6}},\r
1420 {m68k_op_slt_8_ai , 0xfff8, 0x5dd0, { 12, 12, 10, 10}},\r
1421 {m68k_op_slt_8_pi , 0xfff8, 0x5dd8, { 12, 12, 10, 10}},\r
1422 {m68k_op_slt_8_pd , 0xfff8, 0x5de0, { 14, 14, 11, 11}},\r
1423 {m68k_op_slt_8_di , 0xfff8, 0x5de8, { 16, 16, 11, 11}},\r
1424 {m68k_op_slt_8_ix , 0xfff8, 0x5df0, { 18, 18, 13, 13}},\r
1425 {m68k_op_sgt_8_d , 0xfff8, 0x5ec0, { 4, 4, 4, 4}},\r
1426 {m68k_op_dbgt_16 , 0xfff8, 0x5ec8, { 12, 12, 6, 6}},\r
1427 {m68k_op_sgt_8_ai , 0xfff8, 0x5ed0, { 12, 12, 10, 10}},\r
1428 {m68k_op_sgt_8_pi , 0xfff8, 0x5ed8, { 12, 12, 10, 10}},\r
1429 {m68k_op_sgt_8_pd , 0xfff8, 0x5ee0, { 14, 14, 11, 11}},\r
1430 {m68k_op_sgt_8_di , 0xfff8, 0x5ee8, { 16, 16, 11, 11}},\r
1431 {m68k_op_sgt_8_ix , 0xfff8, 0x5ef0, { 18, 18, 13, 13}},\r
1432 {m68k_op_sle_8_d , 0xfff8, 0x5fc0, { 4, 4, 4, 4}},\r
1433 {m68k_op_dble_16 , 0xfff8, 0x5fc8, { 12, 12, 6, 6}},\r
1434 {m68k_op_sle_8_ai , 0xfff8, 0x5fd0, { 12, 12, 10, 10}},\r
1435 {m68k_op_sle_8_pi , 0xfff8, 0x5fd8, { 12, 12, 10, 10}},\r
1436 {m68k_op_sle_8_pd , 0xfff8, 0x5fe0, { 14, 14, 11, 11}},\r
1437 {m68k_op_sle_8_di , 0xfff8, 0x5fe8, { 16, 16, 11, 11}},\r
1438 {m68k_op_sle_8_ix , 0xfff8, 0x5ff0, { 18, 18, 13, 13}},\r
1439 {m68k_op_sbcd_8_mm_ax7 , 0xfff8, 0x8f08, { 18, 18, 16, 16}},\r
1440 {m68k_op_pack_16_mm_ax7 , 0xfff8, 0x8f48, { 0, 0, 13, 13}},\r
1441 {m68k_op_unpk_16_mm_ax7 , 0xfff8, 0x8f88, { 0, 0, 13, 13}},\r
1442 {m68k_op_subx_8_mm_ax7 , 0xfff8, 0x9f08, { 18, 18, 12, 12}},\r
1443 {m68k_op_cmpm_8_ax7 , 0xfff8, 0xbf08, { 12, 12, 9, 9}},\r
1444 {m68k_op_abcd_8_mm_ax7 , 0xfff8, 0xcf08, { 18, 18, 16, 16}},\r
1445 {m68k_op_addx_8_mm_ax7 , 0xfff8, 0xdf08, { 18, 18, 12, 12}},\r
1446 {m68k_op_asr_16_ai , 0xfff8, 0xe0d0, { 12, 12, 9, 9}},\r
1447 {m68k_op_asr_16_pi , 0xfff8, 0xe0d8, { 12, 12, 9, 9}},\r
1448 {m68k_op_asr_16_pd , 0xfff8, 0xe0e0, { 14, 14, 10, 10}},\r
1449 {m68k_op_asr_16_di , 0xfff8, 0xe0e8, { 16, 16, 10, 10}},\r
1450 {m68k_op_asr_16_ix , 0xfff8, 0xe0f0, { 18, 18, 12, 12}},\r
1451 {m68k_op_asl_16_ai , 0xfff8, 0xe1d0, { 12, 12, 10, 10}},\r
1452 {m68k_op_asl_16_pi , 0xfff8, 0xe1d8, { 12, 12, 10, 10}},\r
1453 {m68k_op_asl_16_pd , 0xfff8, 0xe1e0, { 14, 14, 11, 11}},\r
1454 {m68k_op_asl_16_di , 0xfff8, 0xe1e8, { 16, 16, 11, 11}},\r
1455 {m68k_op_asl_16_ix , 0xfff8, 0xe1f0, { 18, 18, 13, 13}},\r
1456 {m68k_op_lsr_16_ai , 0xfff8, 0xe2d0, { 12, 12, 9, 9}},\r
1457 {m68k_op_lsr_16_pi , 0xfff8, 0xe2d8, { 12, 12, 9, 9}},\r
1458 {m68k_op_lsr_16_pd , 0xfff8, 0xe2e0, { 14, 14, 10, 10}},\r
1459 {m68k_op_lsr_16_di , 0xfff8, 0xe2e8, { 16, 16, 10, 10}},\r
1460 {m68k_op_lsr_16_ix , 0xfff8, 0xe2f0, { 18, 18, 12, 12}},\r
1461 {m68k_op_lsl_16_ai , 0xfff8, 0xe3d0, { 12, 12, 9, 9}},\r
1462 {m68k_op_lsl_16_pi , 0xfff8, 0xe3d8, { 12, 12, 9, 9}},\r
1463 {m68k_op_lsl_16_pd , 0xfff8, 0xe3e0, { 14, 14, 10, 10}},\r
1464 {m68k_op_lsl_16_di , 0xfff8, 0xe3e8, { 16, 16, 10, 10}},\r
1465 {m68k_op_lsl_16_ix , 0xfff8, 0xe3f0, { 18, 18, 12, 12}},\r
1466 {m68k_op_roxr_16_ai , 0xfff8, 0xe4d0, { 12, 12, 9, 9}},\r
1467 {m68k_op_roxr_16_pi , 0xfff8, 0xe4d8, { 12, 12, 9, 9}},\r
1468 {m68k_op_roxr_16_pd , 0xfff8, 0xe4e0, { 14, 14, 10, 10}},\r
1469 {m68k_op_roxr_16_di , 0xfff8, 0xe4e8, { 16, 16, 10, 10}},\r
1470 {m68k_op_roxr_16_ix , 0xfff8, 0xe4f0, { 18, 18, 12, 12}},\r
1471 {m68k_op_roxl_16_ai , 0xfff8, 0xe5d0, { 12, 12, 9, 9}},\r
1472 {m68k_op_roxl_16_pi , 0xfff8, 0xe5d8, { 12, 12, 9, 9}},\r
1473 {m68k_op_roxl_16_pd , 0xfff8, 0xe5e0, { 14, 14, 10, 10}},\r
1474 {m68k_op_roxl_16_di , 0xfff8, 0xe5e8, { 16, 16, 10, 10}},\r
1475 {m68k_op_roxl_16_ix , 0xfff8, 0xe5f0, { 18, 18, 12, 12}},\r
1476 {m68k_op_ror_16_ai , 0xfff8, 0xe6d0, { 12, 12, 11, 11}},\r
1477 {m68k_op_ror_16_pi , 0xfff8, 0xe6d8, { 12, 12, 11, 11}},\r
1478 {m68k_op_ror_16_pd , 0xfff8, 0xe6e0, { 14, 14, 12, 12}},\r
1479 {m68k_op_ror_16_di , 0xfff8, 0xe6e8, { 16, 16, 12, 12}},\r
1480 {m68k_op_ror_16_ix , 0xfff8, 0xe6f0, { 18, 18, 14, 14}},\r
1481 {m68k_op_rol_16_ai , 0xfff8, 0xe7d0, { 12, 12, 11, 11}},\r
1482 {m68k_op_rol_16_pi , 0xfff8, 0xe7d8, { 12, 12, 11, 11}},\r
1483 {m68k_op_rol_16_pd , 0xfff8, 0xe7e0, { 14, 14, 12, 12}},\r
1484 {m68k_op_rol_16_di , 0xfff8, 0xe7e8, { 16, 16, 12, 12}},\r
1485 {m68k_op_rol_16_ix , 0xfff8, 0xe7f0, { 18, 18, 14, 14}},\r
1486 {m68k_op_bftst_32_d , 0xfff8, 0xe8c0, { 0, 0, 6, 6}},\r
1487 {m68k_op_bftst_32_ai , 0xfff8, 0xe8d0, { 0, 0, 17, 17}},\r
1488 {m68k_op_bftst_32_di , 0xfff8, 0xe8e8, { 0, 0, 18, 18}},\r
1489 {m68k_op_bftst_32_ix , 0xfff8, 0xe8f0, { 0, 0, 20, 20}},\r
1490 {m68k_op_bfextu_32_d , 0xfff8, 0xe9c0, { 0, 0, 8, 8}},\r
1491 {m68k_op_bfextu_32_ai , 0xfff8, 0xe9d0, { 0, 0, 19, 19}},\r
1492 {m68k_op_bfextu_32_di , 0xfff8, 0xe9e8, { 0, 0, 20, 20}},\r
1493 {m68k_op_bfextu_32_ix , 0xfff8, 0xe9f0, { 0, 0, 22, 22}},\r
1494 {m68k_op_bfchg_32_d , 0xfff8, 0xeac0, { 0, 0, 12, 12}},\r
1495 {m68k_op_bfchg_32_ai , 0xfff8, 0xead0, { 0, 0, 24, 24}},\r
1496 {m68k_op_bfchg_32_di , 0xfff8, 0xeae8, { 0, 0, 25, 25}},\r
1497 {m68k_op_bfchg_32_ix , 0xfff8, 0xeaf0, { 0, 0, 27, 27}},\r
1498 {m68k_op_bfexts_32_d , 0xfff8, 0xebc0, { 0, 0, 8, 8}},\r
1499 {m68k_op_bfexts_32_ai , 0xfff8, 0xebd0, { 0, 0, 19, 19}},\r
1500 {m68k_op_bfexts_32_di , 0xfff8, 0xebe8, { 0, 0, 20, 20}},\r
1501 {m68k_op_bfexts_32_ix , 0xfff8, 0xebf0, { 0, 0, 22, 22}},\r
1502 {m68k_op_bfclr_32_d , 0xfff8, 0xecc0, { 0, 0, 12, 12}},\r
1503 {m68k_op_bfclr_32_ai , 0xfff8, 0xecd0, { 0, 0, 24, 24}},\r
1504 {m68k_op_bfclr_32_di , 0xfff8, 0xece8, { 0, 0, 25, 25}},\r
1505 {m68k_op_bfclr_32_ix , 0xfff8, 0xecf0, { 0, 0, 27, 27}},\r
1506 {m68k_op_bfffo_32_d , 0xfff8, 0xedc0, { 0, 0, 18, 18}},\r
1507 {m68k_op_bfffo_32_ai , 0xfff8, 0xedd0, { 0, 0, 32, 32}},\r
1508 {m68k_op_bfffo_32_di , 0xfff8, 0xede8, { 0, 0, 33, 33}},\r
1509 {m68k_op_bfffo_32_ix , 0xfff8, 0xedf0, { 0, 0, 35, 35}},\r
1510 {m68k_op_bfset_32_d , 0xfff8, 0xeec0, { 0, 0, 12, 12}},\r
1511 {m68k_op_bfset_32_ai , 0xfff8, 0xeed0, { 0, 0, 24, 24}},\r
1512 {m68k_op_bfset_32_di , 0xfff8, 0xeee8, { 0, 0, 25, 25}},\r
1513 {m68k_op_bfset_32_ix , 0xfff8, 0xeef0, { 0, 0, 27, 27}},\r
1514 {m68k_op_bfins_32_d , 0xfff8, 0xefc0, { 0, 0, 10, 10}},\r
1515 {m68k_op_bfins_32_ai , 0xfff8, 0xefd0, { 0, 0, 21, 21}},\r
1516 {m68k_op_bfins_32_di , 0xfff8, 0xefe8, { 0, 0, 22, 22}},\r
1517 {m68k_op_bfins_32_ix , 0xfff8, 0xeff0, { 0, 0, 24, 24}},\r
1518 {m68k_op_move16_32 , 0xfff8, 0xf620, { 0, 0, 0, 4}},\r
1519 {m68k_op_ori_8_pi7 , 0xffff, 0x001f, { 16, 16, 8, 8}},\r
1520 {m68k_op_ori_8_pd7 , 0xffff, 0x0027, { 18, 18, 9, 9}},\r
1521 {m68k_op_ori_8_aw , 0xffff, 0x0038, { 20, 20, 8, 8}},\r
1522 {m68k_op_ori_8_al , 0xffff, 0x0039, { 24, 24, 8, 8}},\r
1523 {m68k_op_ori_16_toc , 0xffff, 0x003c, { 20, 16, 12, 12}},\r
1524 {m68k_op_ori_16_aw , 0xffff, 0x0078, { 20, 20, 8, 8}},\r
1525 {m68k_op_ori_16_al , 0xffff, 0x0079, { 24, 24, 8, 8}},\r
1526 {m68k_op_ori_16_tos , 0xffff, 0x007c, { 20, 16, 12, 12}},\r
1527 {m68k_op_ori_32_aw , 0xffff, 0x00b8, { 32, 32, 8, 8}},\r
1528 {m68k_op_ori_32_al , 0xffff, 0x00b9, { 36, 36, 8, 8}},\r
1529 {m68k_op_chk2cmp2_8_aw , 0xffff, 0x00f8, { 0, 0, 22, 22}},\r
1530 {m68k_op_chk2cmp2_8_al , 0xffff, 0x00f9, { 0, 0, 22, 22}},\r
1531 {m68k_op_chk2cmp2_8_pcdi , 0xffff, 0x00fa, { 0, 0, 23, 23}},\r
1532 {m68k_op_chk2cmp2_8_pcix , 0xffff, 0x00fb, { 0, 0, 23, 23}},\r
1533 {m68k_op_andi_8_pi7 , 0xffff, 0x021f, { 16, 16, 8, 8}},\r
1534 {m68k_op_andi_8_pd7 , 0xffff, 0x0227, { 18, 18, 9, 9}},\r
1535 {m68k_op_andi_8_aw , 0xffff, 0x0238, { 20, 20, 8, 8}},\r
1536 {m68k_op_andi_8_al , 0xffff, 0x0239, { 24, 24, 8, 8}},\r
1537 {m68k_op_andi_16_toc , 0xffff, 0x023c, { 20, 16, 12, 12}},\r
1538 {m68k_op_andi_16_aw , 0xffff, 0x0278, { 20, 20, 8, 8}},\r
1539 {m68k_op_andi_16_al , 0xffff, 0x0279, { 24, 24, 8, 8}},\r
1540 {m68k_op_andi_16_tos , 0xffff, 0x027c, { 20, 16, 12, 12}},\r
1541 {m68k_op_andi_32_aw , 0xffff, 0x02b8, { 32, 32, 8, 8}},\r
1542 {m68k_op_andi_32_al , 0xffff, 0x02b9, { 36, 36, 8, 8}},\r
1543 {m68k_op_chk2cmp2_16_aw , 0xffff, 0x02f8, { 0, 0, 22, 22}},\r
1544 {m68k_op_chk2cmp2_16_al , 0xffff, 0x02f9, { 0, 0, 22, 22}},\r
1545 {m68k_op_chk2cmp2_16_pcdi , 0xffff, 0x02fa, { 0, 0, 23, 23}},\r
1546 {m68k_op_chk2cmp2_16_pcix , 0xffff, 0x02fb, { 0, 0, 23, 23}},\r
1547 {m68k_op_subi_8_pi7 , 0xffff, 0x041f, { 16, 16, 8, 8}},\r
1548 {m68k_op_subi_8_pd7 , 0xffff, 0x0427, { 18, 18, 9, 9}},\r
1549 {m68k_op_subi_8_aw , 0xffff, 0x0438, { 20, 20, 8, 8}},\r
1550 {m68k_op_subi_8_al , 0xffff, 0x0439, { 24, 24, 8, 8}},\r
1551 {m68k_op_subi_16_aw , 0xffff, 0x0478, { 20, 20, 8, 8}},\r
1552 {m68k_op_subi_16_al , 0xffff, 0x0479, { 24, 24, 8, 8}},\r
1553 {m68k_op_subi_32_aw , 0xffff, 0x04b8, { 32, 32, 8, 8}},\r
1554 {m68k_op_subi_32_al , 0xffff, 0x04b9, { 36, 36, 8, 8}},\r
1555 {m68k_op_chk2cmp2_32_aw , 0xffff, 0x04f8, { 0, 0, 22, 22}},\r
1556 {m68k_op_chk2cmp2_32_al , 0xffff, 0x04f9, { 0, 0, 22, 22}},\r
1557 {m68k_op_chk2cmp2_32_pcdi , 0xffff, 0x04fa, { 0, 0, 23, 23}},\r
1558 {m68k_op_chk2cmp2_32_pcix , 0xffff, 0x04fb, { 0, 0, 23, 23}},\r
1559 {m68k_op_addi_8_pi7 , 0xffff, 0x061f, { 16, 16, 8, 8}},\r
1560 {m68k_op_addi_8_pd7 , 0xffff, 0x0627, { 18, 18, 9, 9}},\r
1561 {m68k_op_addi_8_aw , 0xffff, 0x0638, { 20, 20, 8, 8}},\r
1562 {m68k_op_addi_8_al , 0xffff, 0x0639, { 24, 24, 8, 8}},\r
1563 {m68k_op_addi_16_aw , 0xffff, 0x0678, { 20, 20, 8, 8}},\r
1564 {m68k_op_addi_16_al , 0xffff, 0x0679, { 24, 24, 8, 8}},\r
1565 {m68k_op_addi_32_aw , 0xffff, 0x06b8, { 32, 32, 8, 8}},\r
1566 {m68k_op_addi_32_al , 0xffff, 0x06b9, { 36, 36, 8, 8}},\r
1567 {m68k_op_callm_32_aw , 0xffff, 0x06f8, { 0, 0, 64, 64}},\r
1568 {m68k_op_callm_32_al , 0xffff, 0x06f9, { 0, 0, 64, 64}},\r
1569 {m68k_op_callm_32_pcdi , 0xffff, 0x06fa, { 0, 0, 65, 65}},\r
1570 {m68k_op_callm_32_pcix , 0xffff, 0x06fb, { 0, 0, 67, 67}},\r
1571 {m68k_op_btst_8_s_pi7 , 0xffff, 0x081f, { 12, 12, 8, 8}},\r
1572 {m68k_op_btst_8_s_pd7 , 0xffff, 0x0827, { 14, 14, 9, 9}},\r
1573 {m68k_op_btst_8_s_aw , 0xffff, 0x0838, { 16, 16, 8, 8}},\r
1574 {m68k_op_btst_8_s_al , 0xffff, 0x0839, { 20, 20, 8, 8}},\r
1575 {m68k_op_btst_8_s_pcdi , 0xffff, 0x083a, { 16, 16, 9, 9}},\r
1576 {m68k_op_btst_8_s_pcix , 0xffff, 0x083b, { 18, 18, 11, 11}},\r
1577 {m68k_op_bchg_8_s_pi7 , 0xffff, 0x085f, { 16, 16, 8, 8}},\r
1578 {m68k_op_bchg_8_s_pd7 , 0xffff, 0x0867, { 18, 18, 9, 9}},\r
1579 {m68k_op_bchg_8_s_aw , 0xffff, 0x0878, { 20, 20, 8, 8}},\r
1580 {m68k_op_bchg_8_s_al , 0xffff, 0x0879, { 24, 24, 8, 8}},\r
1581 {m68k_op_bclr_8_s_pi7 , 0xffff, 0x089f, { 16, 16, 8, 8}},\r
1582 {m68k_op_bclr_8_s_pd7 , 0xffff, 0x08a7, { 18, 18, 9, 9}},\r
1583 {m68k_op_bclr_8_s_aw , 0xffff, 0x08b8, { 20, 20, 8, 8}},\r
1584 {m68k_op_bclr_8_s_al , 0xffff, 0x08b9, { 24, 24, 8, 8}},\r
1585 {m68k_op_bset_8_s_pi7 , 0xffff, 0x08df, { 16, 16, 8, 8}},\r
1586 {m68k_op_bset_8_s_pd7 , 0xffff, 0x08e7, { 18, 18, 9, 9}},\r
1587 {m68k_op_bset_8_s_aw , 0xffff, 0x08f8, { 20, 20, 8, 8}},\r
1588 {m68k_op_bset_8_s_al , 0xffff, 0x08f9, { 24, 24, 8, 8}},\r
1589 {m68k_op_eori_8_pi7 , 0xffff, 0x0a1f, { 16, 16, 8, 8}},\r
1590 {m68k_op_eori_8_pd7 , 0xffff, 0x0a27, { 18, 18, 9, 9}},\r
1591 {m68k_op_eori_8_aw , 0xffff, 0x0a38, { 20, 20, 8, 8}},\r
1592 {m68k_op_eori_8_al , 0xffff, 0x0a39, { 24, 24, 8, 8}},\r
1593 {m68k_op_eori_16_toc , 0xffff, 0x0a3c, { 20, 16, 12, 12}},\r
1594 {m68k_op_eori_16_aw , 0xffff, 0x0a78, { 20, 20, 8, 8}},\r
1595 {m68k_op_eori_16_al , 0xffff, 0x0a79, { 24, 24, 8, 8}},\r
1596 {m68k_op_eori_16_tos , 0xffff, 0x0a7c, { 20, 16, 12, 12}},\r
1597 {m68k_op_eori_32_aw , 0xffff, 0x0ab8, { 32, 32, 8, 8}},\r
1598 {m68k_op_eori_32_al , 0xffff, 0x0ab9, { 36, 36, 8, 8}},\r
1599 {m68k_op_cas_8_pi7 , 0xffff, 0x0adf, { 0, 0, 16, 16}},\r
1600 {m68k_op_cas_8_pd7 , 0xffff, 0x0ae7, { 0, 0, 17, 17}},\r
1601 {m68k_op_cas_8_aw , 0xffff, 0x0af8, { 0, 0, 16, 16}},\r
1602 {m68k_op_cas_8_al , 0xffff, 0x0af9, { 0, 0, 16, 16}},\r
1603 {m68k_op_cmpi_8_pi7 , 0xffff, 0x0c1f, { 12, 12, 6, 6}},\r
1604 {m68k_op_cmpi_8_pd7 , 0xffff, 0x0c27, { 14, 14, 7, 7}},\r
1605 {m68k_op_cmpi_8_aw , 0xffff, 0x0c38, { 16, 16, 6, 6}},\r
1606 {m68k_op_cmpi_8_al , 0xffff, 0x0c39, { 20, 20, 6, 6}},\r
1607 {m68k_op_cmpi_8_pcdi , 0xffff, 0x0c3a, { 0, 0, 7, 7}},\r
1608 {m68k_op_cmpi_8_pcix , 0xffff, 0x0c3b, { 0, 0, 9, 9}},\r
1609 {m68k_op_cmpi_16_aw , 0xffff, 0x0c78, { 16, 16, 6, 6}},\r
1610 {m68k_op_cmpi_16_al , 0xffff, 0x0c79, { 20, 20, 6, 6}},\r
1611 {m68k_op_cmpi_16_pcdi , 0xffff, 0x0c7a, { 0, 0, 7, 7}},\r
1612 {m68k_op_cmpi_16_pcix , 0xffff, 0x0c7b, { 0, 0, 9, 9}},\r
1613 {m68k_op_cmpi_32_aw , 0xffff, 0x0cb8, { 24, 24, 6, 6}},\r
1614 {m68k_op_cmpi_32_al , 0xffff, 0x0cb9, { 28, 28, 6, 6}},\r
1615 {m68k_op_cmpi_32_pcdi , 0xffff, 0x0cba, { 0, 0, 7, 7}},\r
1616 {m68k_op_cmpi_32_pcix , 0xffff, 0x0cbb, { 0, 0, 9, 9}},\r
1617 {m68k_op_cas_16_aw , 0xffff, 0x0cf8, { 0, 0, 16, 16}},\r
1618 {m68k_op_cas_16_al , 0xffff, 0x0cf9, { 0, 0, 16, 16}},\r
1619 {m68k_op_cas2_16 , 0xffff, 0x0cfc, { 0, 0, 12, 12}},\r
1620 {m68k_op_moves_8_pi7 , 0xffff, 0x0e1f, { 0, 18, 9, 9}},\r
1621 {m68k_op_moves_8_pd7 , 0xffff, 0x0e27, { 0, 20, 10, 10}},\r
1622 {m68k_op_moves_8_aw , 0xffff, 0x0e38, { 0, 26, 9, 9}},\r
1623 {m68k_op_moves_8_al , 0xffff, 0x0e39, { 0, 30, 9, 9}},\r
1624 {m68k_op_moves_16_aw , 0xffff, 0x0e78, { 0, 26, 9, 9}},\r
1625 {m68k_op_moves_16_al , 0xffff, 0x0e79, { 0, 30, 9, 9}},\r
1626 {m68k_op_moves_32_aw , 0xffff, 0x0eb8, { 0, 32, 9, 9}},\r
1627 {m68k_op_moves_32_al , 0xffff, 0x0eb9, { 0, 36, 9, 9}},\r
1628 {m68k_op_cas_32_aw , 0xffff, 0x0ef8, { 0, 0, 16, 16}},\r
1629 {m68k_op_cas_32_al , 0xffff, 0x0ef9, { 0, 0, 16, 16}},\r
1630 {m68k_op_cas2_32 , 0xffff, 0x0efc, { 0, 0, 12, 12}},\r
1631 {m68k_op_move_8_aw_pi7 , 0xffff, 0x11df, { 16, 16, 8, 8}},\r
1632 {m68k_op_move_8_aw_pd7 , 0xffff, 0x11e7, { 18, 18, 9, 9}},\r
1633 {m68k_op_move_8_aw_aw , 0xffff, 0x11f8, { 20, 20, 8, 8}},\r
1634 {m68k_op_move_8_aw_al , 0xffff, 0x11f9, { 24, 24, 8, 8}},\r
1635 {m68k_op_move_8_aw_pcdi , 0xffff, 0x11fa, { 20, 20, 9, 9}},\r
1636 {m68k_op_move_8_aw_pcix , 0xffff, 0x11fb, { 22, 22, 11, 11}},\r
1637 {m68k_op_move_8_aw_i , 0xffff, 0x11fc, { 16, 16, 6, 6}},\r
1638 {m68k_op_move_8_al_pi7 , 0xffff, 0x13df, { 20, 20, 10, 10}},\r
1639 {m68k_op_move_8_al_pd7 , 0xffff, 0x13e7, { 22, 22, 11, 11}},\r
1640 {m68k_op_move_8_al_aw , 0xffff, 0x13f8, { 24, 24, 10, 10}},\r
1641 {m68k_op_move_8_al_al , 0xffff, 0x13f9, { 28, 28, 10, 10}},\r
1642 {m68k_op_move_8_al_pcdi , 0xffff, 0x13fa, { 24, 24, 11, 11}},\r
1643 {m68k_op_move_8_al_pcix , 0xffff, 0x13fb, { 26, 26, 13, 13}},\r
1644 {m68k_op_move_8_al_i , 0xffff, 0x13fc, { 20, 20, 8, 8}},\r
1645 {m68k_op_move_8_pi7_pi7 , 0xffff, 0x1edf, { 12, 12, 8, 8}},\r
1646 {m68k_op_move_8_pi7_pd7 , 0xffff, 0x1ee7, { 14, 14, 9, 9}},\r
1647 {m68k_op_move_8_pi7_aw , 0xffff, 0x1ef8, { 16, 16, 8, 8}},\r
1648 {m68k_op_move_8_pi7_al , 0xffff, 0x1ef9, { 20, 20, 8, 8}},\r
1649 {m68k_op_move_8_pi7_pcdi , 0xffff, 0x1efa, { 16, 16, 9, 9}},\r
1650 {m68k_op_move_8_pi7_pcix , 0xffff, 0x1efb, { 18, 18, 11, 11}},\r
1651 {m68k_op_move_8_pi7_i , 0xffff, 0x1efc, { 12, 12, 6, 6}},\r
1652 {m68k_op_move_8_pd7_pi7 , 0xffff, 0x1f1f, { 12, 12, 9, 9}},\r
1653 {m68k_op_move_8_pd7_pd7 , 0xffff, 0x1f27, { 14, 14, 10, 10}},\r
1654 {m68k_op_move_8_pd7_aw , 0xffff, 0x1f38, { 16, 16, 9, 9}},\r
1655 {m68k_op_move_8_pd7_al , 0xffff, 0x1f39, { 20, 20, 9, 9}},\r
1656 {m68k_op_move_8_pd7_pcdi , 0xffff, 0x1f3a, { 16, 16, 10, 10}},\r
1657 {m68k_op_move_8_pd7_pcix , 0xffff, 0x1f3b, { 18, 18, 12, 12}},\r
1658 {m68k_op_move_8_pd7_i , 0xffff, 0x1f3c, { 12, 12, 7, 7}},\r
1659 {m68k_op_move_32_aw_aw , 0xffff, 0x21f8, { 28, 28, 8, 8}},\r
1660 {m68k_op_move_32_aw_al , 0xffff, 0x21f9, { 32, 32, 8, 8}},\r
1661 {m68k_op_move_32_aw_pcdi , 0xffff, 0x21fa, { 28, 28, 9, 9}},\r
1662 {m68k_op_move_32_aw_pcix , 0xffff, 0x21fb, { 30, 30, 11, 11}},\r
1663 {m68k_op_move_32_aw_i , 0xffff, 0x21fc, { 24, 24, 8, 8}},\r
1664 {m68k_op_move_32_al_aw , 0xffff, 0x23f8, { 32, 32, 10, 10}},\r
1665 {m68k_op_move_32_al_al , 0xffff, 0x23f9, { 36, 36, 10, 10}},\r
1666 {m68k_op_move_32_al_pcdi , 0xffff, 0x23fa, { 32, 32, 11, 11}},\r
1667 {m68k_op_move_32_al_pcix , 0xffff, 0x23fb, { 34, 34, 13, 13}},\r
1668 {m68k_op_move_32_al_i , 0xffff, 0x23fc, { 28, 28, 10, 10}},\r
1669 {m68k_op_move_16_aw_aw , 0xffff, 0x31f8, { 20, 20, 8, 8}},\r
1670 {m68k_op_move_16_aw_al , 0xffff, 0x31f9, { 24, 24, 8, 8}},\r
1671 {m68k_op_move_16_aw_pcdi , 0xffff, 0x31fa, { 20, 20, 9, 9}},\r
1672 {m68k_op_move_16_aw_pcix , 0xffff, 0x31fb, { 22, 22, 11, 11}},\r
1673 {m68k_op_move_16_aw_i , 0xffff, 0x31fc, { 16, 16, 6, 6}},\r
1674 {m68k_op_move_16_al_aw , 0xffff, 0x33f8, { 24, 24, 10, 10}},\r
1675 {m68k_op_move_16_al_al , 0xffff, 0x33f9, { 28, 28, 10, 10}},\r
1676 {m68k_op_move_16_al_pcdi , 0xffff, 0x33fa, { 24, 24, 11, 11}},\r
1677 {m68k_op_move_16_al_pcix , 0xffff, 0x33fb, { 26, 26, 13, 13}},\r
1678 {m68k_op_move_16_al_i , 0xffff, 0x33fc, { 20, 20, 8, 8}},\r
1679 {m68k_op_negx_8_pi7 , 0xffff, 0x401f, { 12, 12, 8, 8}},\r
1680 {m68k_op_negx_8_pd7 , 0xffff, 0x4027, { 14, 14, 9, 9}},\r
1681 {m68k_op_negx_8_aw , 0xffff, 0x4038, { 16, 16, 8, 8}},\r
1682 {m68k_op_negx_8_al , 0xffff, 0x4039, { 20, 20, 8, 8}},\r
1683 {m68k_op_negx_16_aw , 0xffff, 0x4078, { 16, 16, 8, 8}},\r
1684 {m68k_op_negx_16_al , 0xffff, 0x4079, { 20, 20, 8, 8}},\r
1685 {m68k_op_negx_32_aw , 0xffff, 0x40b8, { 24, 24, 8, 8}},\r
1686 {m68k_op_negx_32_al , 0xffff, 0x40b9, { 28, 28, 8, 8}},\r
1687 {m68k_op_move_16_frs_aw , 0xffff, 0x40f8, { 16, 16, 12, 12}},\r
1688 {m68k_op_move_16_frs_al , 0xffff, 0x40f9, { 20, 20, 12, 12}},\r
1689 {m68k_op_clr_8_pi7 , 0xffff, 0x421f, { 10, 8, 8, 8}},\r
1690 {m68k_op_clr_8_pd7 , 0xffff, 0x4227, { 12, 10, 9, 9}},\r
1691 {m68k_op_clr_8_aw , 0xffff, 0x4238, { 14, 12, 8, 8}},\r
1692 {m68k_op_clr_8_al , 0xffff, 0x4239, { 18, 14, 8, 8}},\r
1693 {m68k_op_clr_16_aw , 0xffff, 0x4278, { 14, 12, 8, 8}},\r
1694 {m68k_op_clr_16_al , 0xffff, 0x4279, { 18, 14, 8, 8}},\r
1695 {m68k_op_clr_32_aw , 0xffff, 0x42b8, { 24, 16, 8, 8}},\r
1696 {m68k_op_clr_32_al , 0xffff, 0x42b9, { 28, 20, 8, 8}},\r
1697 {m68k_op_move_16_frc_aw , 0xffff, 0x42f8, { 0, 16, 8, 8}},\r
1698 {m68k_op_move_16_frc_al , 0xffff, 0x42f9, { 0, 20, 8, 8}},\r
1699 {m68k_op_neg_8_pi7 , 0xffff, 0x441f, { 12, 12, 8, 8}},\r
1700 {m68k_op_neg_8_pd7 , 0xffff, 0x4427, { 14, 14, 9, 9}},\r
1701 {m68k_op_neg_8_aw , 0xffff, 0x4438, { 16, 16, 8, 8}},\r
1702 {m68k_op_neg_8_al , 0xffff, 0x4439, { 20, 20, 8, 8}},\r
1703 {m68k_op_neg_16_aw , 0xffff, 0x4478, { 16, 16, 8, 8}},\r
1704 {m68k_op_neg_16_al , 0xffff, 0x4479, { 20, 20, 8, 8}},\r
1705 {m68k_op_neg_32_aw , 0xffff, 0x44b8, { 24, 24, 8, 8}},\r
1706 {m68k_op_neg_32_al , 0xffff, 0x44b9, { 28, 28, 8, 8}},\r
1707 {m68k_op_move_16_toc_aw , 0xffff, 0x44f8, { 20, 20, 8, 8}},\r
1708 {m68k_op_move_16_toc_al , 0xffff, 0x44f9, { 24, 24, 8, 8}},\r
1709 {m68k_op_move_16_toc_pcdi , 0xffff, 0x44fa, { 20, 20, 9, 9}},\r
1710 {m68k_op_move_16_toc_pcix , 0xffff, 0x44fb, { 22, 22, 11, 11}},\r
1711 {m68k_op_move_16_toc_i , 0xffff, 0x44fc, { 16, 16, 6, 6}},\r
1712 {m68k_op_not_8_pi7 , 0xffff, 0x461f, { 12, 12, 8, 8}},\r
1713 {m68k_op_not_8_pd7 , 0xffff, 0x4627, { 14, 14, 9, 9}},\r
1714 {m68k_op_not_8_aw , 0xffff, 0x4638, { 16, 16, 8, 8}},\r
1715 {m68k_op_not_8_al , 0xffff, 0x4639, { 20, 20, 8, 8}},\r
1716 {m68k_op_not_16_aw , 0xffff, 0x4678, { 16, 16, 8, 8}},\r
1717 {m68k_op_not_16_al , 0xffff, 0x4679, { 20, 20, 8, 8}},\r
1718 {m68k_op_not_32_aw , 0xffff, 0x46b8, { 24, 24, 8, 8}},\r
1719 {m68k_op_not_32_al , 0xffff, 0x46b9, { 28, 28, 8, 8}},\r
1720 {m68k_op_move_16_tos_aw , 0xffff, 0x46f8, { 20, 20, 12, 12}},\r
1721 {m68k_op_move_16_tos_al , 0xffff, 0x46f9, { 24, 24, 12, 12}},\r
1722 {m68k_op_move_16_tos_pcdi , 0xffff, 0x46fa, { 20, 20, 13, 13}},\r
1723 {m68k_op_move_16_tos_pcix , 0xffff, 0x46fb, { 22, 22, 15, 15}},\r
1724 {m68k_op_move_16_tos_i , 0xffff, 0x46fc, { 16, 16, 10, 10}},\r
1725 {m68k_op_link_32_a7 , 0xffff, 0x480f, { 0, 0, 6, 6}},\r
1726 {m68k_op_nbcd_8_pi7 , 0xffff, 0x481f, { 12, 12, 10, 10}},\r
1727 {m68k_op_nbcd_8_pd7 , 0xffff, 0x4827, { 14, 14, 11, 11}},\r
1728 {m68k_op_nbcd_8_aw , 0xffff, 0x4838, { 16, 16, 10, 10}},\r
1729 {m68k_op_nbcd_8_al , 0xffff, 0x4839, { 20, 20, 10, 10}},\r
1730 {m68k_op_pea_32_aw , 0xffff, 0x4878, { 16, 16, 9, 9}},\r
1731 {m68k_op_pea_32_al , 0xffff, 0x4879, { 20, 20, 9, 9}},\r
1732 {m68k_op_pea_32_pcdi , 0xffff, 0x487a, { 16, 16, 10, 10}},\r
1733 {m68k_op_pea_32_pcix , 0xffff, 0x487b, { 20, 20, 12, 12}},\r
1734 {m68k_op_movem_16_re_aw , 0xffff, 0x48b8, { 12, 12, 8, 8}},\r
1735 {m68k_op_movem_16_re_al , 0xffff, 0x48b9, { 16, 16, 8, 8}},\r
1736 {m68k_op_movem_32_re_aw , 0xffff, 0x48f8, { 12, 12, 8, 8}},\r
1737 {m68k_op_movem_32_re_al , 0xffff, 0x48f9, { 16, 16, 8, 8}},\r
1738 {m68k_op_tst_8_pi7 , 0xffff, 0x4a1f, { 8, 8, 6, 6}},\r
1739 {m68k_op_tst_8_pd7 , 0xffff, 0x4a27, { 10, 10, 7, 7}},\r
1740 {m68k_op_tst_8_aw , 0xffff, 0x4a38, { 12, 12, 6, 6}},\r
1741 {m68k_op_tst_8_al , 0xffff, 0x4a39, { 16, 16, 6, 6}},\r
1742 {m68k_op_tst_8_pcdi , 0xffff, 0x4a3a, { 0, 0, 7, 7}},\r
1743 {m68k_op_tst_8_pcix , 0xffff, 0x4a3b, { 0, 0, 9, 9}},\r
1744 {m68k_op_tst_8_i , 0xffff, 0x4a3c, { 0, 0, 6, 6}},\r
1745 {m68k_op_tst_16_aw , 0xffff, 0x4a78, { 12, 12, 6, 6}},\r
1746 {m68k_op_tst_16_al , 0xffff, 0x4a79, { 16, 16, 6, 6}},\r
1747 {m68k_op_tst_16_pcdi , 0xffff, 0x4a7a, { 0, 0, 7, 7}},\r
1748 {m68k_op_tst_16_pcix , 0xffff, 0x4a7b, { 0, 0, 9, 9}},\r
1749 {m68k_op_tst_16_i , 0xffff, 0x4a7c, { 0, 0, 6, 6}},\r
1750 {m68k_op_tst_32_aw , 0xffff, 0x4ab8, { 16, 16, 6, 6}},\r
1751 {m68k_op_tst_32_al , 0xffff, 0x4ab9, { 20, 20, 6, 6}},\r
1752 {m68k_op_tst_32_pcdi , 0xffff, 0x4aba, { 0, 0, 7, 7}},\r
1753 {m68k_op_tst_32_pcix , 0xffff, 0x4abb, { 0, 0, 9, 9}},\r
1754 {m68k_op_tst_32_i , 0xffff, 0x4abc, { 0, 0, 6, 6}},\r
1755 {m68k_op_tas_8_pi7 , 0xffff, 0x4adf, { 18, 18, 16, 16}},\r
1756 {m68k_op_tas_8_pd7 , 0xffff, 0x4ae7, { 20, 20, 17, 17}},\r
1757 {m68k_op_tas_8_aw , 0xffff, 0x4af8, { 22, 22, 16, 16}},\r
1758 {m68k_op_tas_8_al , 0xffff, 0x4af9, { 26, 26, 16, 16}},\r
1759 {m68k_op_illegal , 0xffff, 0x4afc, { 4, 4, 4, 4}},\r
1760 {m68k_op_mull_32_aw , 0xffff, 0x4c38, { 0, 0, 47, 47}},\r
1761 {m68k_op_mull_32_al , 0xffff, 0x4c39, { 0, 0, 47, 47}},\r
1762 {m68k_op_mull_32_pcdi , 0xffff, 0x4c3a, { 0, 0, 48, 48}},\r
1763 {m68k_op_mull_32_pcix , 0xffff, 0x4c3b, { 0, 0, 50, 50}},\r
1764 {m68k_op_mull_32_i , 0xffff, 0x4c3c, { 0, 0, 47, 47}},\r
1765 {m68k_op_divl_32_aw , 0xffff, 0x4c78, { 0, 0, 88, 88}},\r
1766 {m68k_op_divl_32_al , 0xffff, 0x4c79, { 0, 0, 88, 88}},\r
1767 {m68k_op_divl_32_pcdi , 0xffff, 0x4c7a, { 0, 0, 89, 89}},\r
1768 {m68k_op_divl_32_pcix , 0xffff, 0x4c7b, { 0, 0, 91, 91}},\r
1769 {m68k_op_divl_32_i , 0xffff, 0x4c7c, { 0, 0, 88, 88}},\r
1770 {m68k_op_movem_16_er_aw , 0xffff, 0x4cb8, { 16, 16, 12, 12}},\r
1771 {m68k_op_movem_16_er_al , 0xffff, 0x4cb9, { 20, 20, 12, 12}},\r
1772 {m68k_op_movem_16_er_pcdi , 0xffff, 0x4cba, { 16, 16, 9, 9}},\r
1773 {m68k_op_movem_16_er_pcix , 0xffff, 0x4cbb, { 18, 18, 11, 11}},\r
1774 {m68k_op_movem_32_er_aw , 0xffff, 0x4cf8, { 16, 16, 12, 12}},\r
1775 {m68k_op_movem_32_er_al , 0xffff, 0x4cf9, { 20, 20, 12, 12}},\r
1776 {m68k_op_movem_32_er_pcdi , 0xffff, 0x4cfa, { 16, 16, 9, 9}},\r
1777 {m68k_op_movem_32_er_pcix , 0xffff, 0x4cfb, { 18, 18, 11, 11}},\r
1778 {m68k_op_link_16_a7 , 0xffff, 0x4e57, { 16, 16, 5, 5}},\r
1779 {m68k_op_unlk_32_a7 , 0xffff, 0x4e5f, { 12, 12, 6, 6}},\r
1780 {m68k_op_reset , 0xffff, 0x4e70, { 0, 0, 0, 0}},\r
1781 {m68k_op_nop , 0xffff, 0x4e71, { 4, 4, 2, 2}},\r
1782 {m68k_op_stop , 0xffff, 0x4e72, { 4, 4, 8, 8}},\r
1783 {m68k_op_rte_32 , 0xffff, 0x4e73, { 20, 24, 20, 20}},\r
1784 {m68k_op_rtd_32 , 0xffff, 0x4e74, { 0, 16, 10, 10}},\r
1785 {m68k_op_rts_32 , 0xffff, 0x4e75, { 16, 16, 10, 10}},\r
1786 {m68k_op_trapv , 0xffff, 0x4e76, { 4, 4, 4, 4}},\r
1787 {m68k_op_rtr_32 , 0xffff, 0x4e77, { 20, 20, 14, 14}},\r
1788 {m68k_op_movec_32_cr , 0xffff, 0x4e7a, { 0, 12, 6, 6}},\r
1789 {m68k_op_movec_32_rc , 0xffff, 0x4e7b, { 0, 10, 12, 12}},\r
1790 {m68k_op_jsr_32_aw , 0xffff, 0x4eb8, { 18, 18, 4, 4}},\r
1791 {m68k_op_jsr_32_al , 0xffff, 0x4eb9, { 20, 20, 4, 4}},\r
1792 {m68k_op_jsr_32_pcdi , 0xffff, 0x4eba, { 18, 18, 5, 5}},\r
1793 {m68k_op_jsr_32_pcix , 0xffff, 0x4ebb, { 22, 22, 7, 7}},\r
1794 {m68k_op_jmp_32_aw , 0xffff, 0x4ef8, { 10, 10, 4, 4}},\r
1795 {m68k_op_jmp_32_al , 0xffff, 0x4ef9, { 12, 12, 4, 4}},\r
1796 {m68k_op_jmp_32_pcdi , 0xffff, 0x4efa, { 10, 10, 5, 5}},\r
1797 {m68k_op_jmp_32_pcix , 0xffff, 0x4efb, { 14, 14, 7, 7}},\r
1798 {m68k_op_st_8_pi7 , 0xffff, 0x50df, { 12, 12, 10, 10}},\r
1799 {m68k_op_st_8_pd7 , 0xffff, 0x50e7, { 14, 14, 11, 11}},\r
1800 {m68k_op_st_8_aw , 0xffff, 0x50f8, { 16, 16, 10, 10}},\r
1801 {m68k_op_st_8_al , 0xffff, 0x50f9, { 20, 20, 10, 10}},\r
1802 {m68k_op_trapt_16 , 0xffff, 0x50fa, { 0, 0, 6, 6}},\r
1803 {m68k_op_trapt_32 , 0xffff, 0x50fb, { 0, 0, 8, 8}},\r
1804 {m68k_op_trapt , 0xffff, 0x50fc, { 0, 0, 4, 4}},\r
1805 {m68k_op_sf_8_pi7 , 0xffff, 0x51df, { 12, 12, 10, 10}},\r
1806 {m68k_op_sf_8_pd7 , 0xffff, 0x51e7, { 14, 14, 11, 11}},\r
1807 {m68k_op_sf_8_aw , 0xffff, 0x51f8, { 16, 16, 10, 10}},\r
1808 {m68k_op_sf_8_al , 0xffff, 0x51f9, { 20, 20, 10, 10}},\r
1809 {m68k_op_trapf_16 , 0xffff, 0x51fa, { 0, 0, 6, 6}},\r
1810 {m68k_op_trapf_32 , 0xffff, 0x51fb, { 0, 0, 8, 8}},\r
1811 {m68k_op_trapf , 0xffff, 0x51fc, { 0, 0, 4, 4}},\r
1812 {m68k_op_shi_8_pi7 , 0xffff, 0x52df, { 12, 12, 10, 10}},\r
1813 {m68k_op_shi_8_pd7 , 0xffff, 0x52e7, { 14, 14, 11, 11}},\r
1814 {m68k_op_shi_8_aw , 0xffff, 0x52f8, { 16, 16, 10, 10}},\r
1815 {m68k_op_shi_8_al , 0xffff, 0x52f9, { 20, 20, 10, 10}},\r
1816 {m68k_op_traphi_16 , 0xffff, 0x52fa, { 0, 0, 6, 6}},\r
1817 {m68k_op_traphi_32 , 0xffff, 0x52fb, { 0, 0, 8, 8}},\r
1818 {m68k_op_traphi , 0xffff, 0x52fc, { 0, 0, 4, 4}},\r
1819 {m68k_op_sls_8_pi7 , 0xffff, 0x53df, { 12, 12, 10, 10}},\r
1820 {m68k_op_sls_8_pd7 , 0xffff, 0x53e7, { 14, 14, 11, 11}},\r
1821 {m68k_op_sls_8_aw , 0xffff, 0x53f8, { 16, 16, 10, 10}},\r
1822 {m68k_op_sls_8_al , 0xffff, 0x53f9, { 20, 20, 10, 10}},\r
1823 {m68k_op_trapls_16 , 0xffff, 0x53fa, { 0, 0, 6, 6}},\r
1824 {m68k_op_trapls_32 , 0xffff, 0x53fb, { 0, 0, 8, 8}},\r
1825 {m68k_op_trapls , 0xffff, 0x53fc, { 0, 0, 4, 4}},\r
1826 {m68k_op_scc_8_pi7 , 0xffff, 0x54df, { 12, 12, 10, 10}},\r
1827 {m68k_op_scc_8_pd7 , 0xffff, 0x54e7, { 14, 14, 11, 11}},\r
1828 {m68k_op_scc_8_aw , 0xffff, 0x54f8, { 16, 16, 10, 10}},\r
1829 {m68k_op_scc_8_al , 0xffff, 0x54f9, { 20, 20, 10, 10}},\r
1830 {m68k_op_trapcc_16 , 0xffff, 0x54fa, { 0, 0, 6, 6}},\r
1831 {m68k_op_trapcc_32 , 0xffff, 0x54fb, { 0, 0, 8, 8}},\r
1832 {m68k_op_trapcc , 0xffff, 0x54fc, { 0, 0, 4, 4}},\r
1833 {m68k_op_scs_8_pi7 , 0xffff, 0x55df, { 12, 12, 10, 10}},\r
1834 {m68k_op_scs_8_pd7 , 0xffff, 0x55e7, { 14, 14, 11, 11}},\r
1835 {m68k_op_scs_8_aw , 0xffff, 0x55f8, { 16, 16, 10, 10}},\r
1836 {m68k_op_scs_8_al , 0xffff, 0x55f9, { 20, 20, 10, 10}},\r
1837 {m68k_op_trapcs_16 , 0xffff, 0x55fa, { 0, 0, 6, 6}},\r
1838 {m68k_op_trapcs_32 , 0xffff, 0x55fb, { 0, 0, 8, 8}},\r
1839 {m68k_op_trapcs , 0xffff, 0x55fc, { 0, 0, 4, 4}},\r
1840 {m68k_op_sne_8_pi7 , 0xffff, 0x56df, { 12, 12, 10, 10}},\r
1841 {m68k_op_sne_8_pd7 , 0xffff, 0x56e7, { 14, 14, 11, 11}},\r
1842 {m68k_op_sne_8_aw , 0xffff, 0x56f8, { 16, 16, 10, 10}},\r
1843 {m68k_op_sne_8_al , 0xffff, 0x56f9, { 20, 20, 10, 10}},\r
1844 {m68k_op_trapne_16 , 0xffff, 0x56fa, { 0, 0, 6, 6}},\r
1845 {m68k_op_trapne_32 , 0xffff, 0x56fb, { 0, 0, 8, 8}},\r
1846 {m68k_op_trapne , 0xffff, 0x56fc, { 0, 0, 4, 4}},\r
1847 {m68k_op_seq_8_pi7 , 0xffff, 0x57df, { 12, 12, 10, 10}},\r
1848 {m68k_op_seq_8_pd7 , 0xffff, 0x57e7, { 14, 14, 11, 11}},\r
1849 {m68k_op_seq_8_aw , 0xffff, 0x57f8, { 16, 16, 10, 10}},\r
1850 {m68k_op_seq_8_al , 0xffff, 0x57f9, { 20, 20, 10, 10}},\r
1851 {m68k_op_trapeq_16 , 0xffff, 0x57fa, { 0, 0, 6, 6}},\r
1852 {m68k_op_trapeq_32 , 0xffff, 0x57fb, { 0, 0, 8, 8}},\r
1853 {m68k_op_trapeq , 0xffff, 0x57fc, { 0, 0, 4, 4}},\r
1854 {m68k_op_svc_8_pi7 , 0xffff, 0x58df, { 12, 12, 10, 10}},\r
1855 {m68k_op_svc_8_pd7 , 0xffff, 0x58e7, { 14, 14, 11, 11}},\r
1856 {m68k_op_svc_8_aw , 0xffff, 0x58f8, { 16, 16, 10, 10}},\r
1857 {m68k_op_svc_8_al , 0xffff, 0x58f9, { 20, 20, 10, 10}},\r
1858 {m68k_op_trapvc_16 , 0xffff, 0x58fa, { 0, 0, 6, 6}},\r
1859 {m68k_op_trapvc_32 , 0xffff, 0x58fb, { 0, 0, 8, 8}},\r
1860 {m68k_op_trapvc , 0xffff, 0x58fc, { 0, 0, 4, 4}},\r
1861 {m68k_op_svs_8_pi7 , 0xffff, 0x59df, { 12, 12, 10, 10}},\r
1862 {m68k_op_svs_8_pd7 , 0xffff, 0x59e7, { 14, 14, 11, 11}},\r
1863 {m68k_op_svs_8_aw , 0xffff, 0x59f8, { 16, 16, 10, 10}},\r
1864 {m68k_op_svs_8_al , 0xffff, 0x59f9, { 20, 20, 10, 10}},\r
1865 {m68k_op_trapvs_16 , 0xffff, 0x59fa, { 0, 0, 6, 6}},\r
1866 {m68k_op_trapvs_32 , 0xffff, 0x59fb, { 0, 0, 8, 8}},\r
1867 {m68k_op_trapvs , 0xffff, 0x59fc, { 0, 0, 4, 4}},\r
1868 {m68k_op_spl_8_pi7 , 0xffff, 0x5adf, { 12, 12, 10, 10}},\r
1869 {m68k_op_spl_8_pd7 , 0xffff, 0x5ae7, { 14, 14, 11, 11}},\r
1870 {m68k_op_spl_8_aw , 0xffff, 0x5af8, { 16, 16, 10, 10}},\r
1871 {m68k_op_spl_8_al , 0xffff, 0x5af9, { 20, 20, 10, 10}},\r
1872 {m68k_op_trappl_16 , 0xffff, 0x5afa, { 0, 0, 6, 6}},\r
1873 {m68k_op_trappl_32 , 0xffff, 0x5afb, { 0, 0, 8, 8}},\r
1874 {m68k_op_trappl , 0xffff, 0x5afc, { 0, 0, 4, 4}},\r
1875 {m68k_op_smi_8_pi7 , 0xffff, 0x5bdf, { 12, 12, 10, 10}},\r
1876 {m68k_op_smi_8_pd7 , 0xffff, 0x5be7, { 14, 14, 11, 11}},\r
1877 {m68k_op_smi_8_aw , 0xffff, 0x5bf8, { 16, 16, 10, 10}},\r
1878 {m68k_op_smi_8_al , 0xffff, 0x5bf9, { 20, 20, 10, 10}},\r
1879 {m68k_op_trapmi_16 , 0xffff, 0x5bfa, { 0, 0, 6, 6}},\r
1880 {m68k_op_trapmi_32 , 0xffff, 0x5bfb, { 0, 0, 8, 8}},\r
1881 {m68k_op_trapmi , 0xffff, 0x5bfc, { 0, 0, 4, 4}},\r
1882 {m68k_op_sge_8_pi7 , 0xffff, 0x5cdf, { 12, 12, 10, 10}},\r
1883 {m68k_op_sge_8_pd7 , 0xffff, 0x5ce7, { 14, 14, 11, 11}},\r
1884 {m68k_op_sge_8_aw , 0xffff, 0x5cf8, { 16, 16, 10, 10}},\r
1885 {m68k_op_sge_8_al , 0xffff, 0x5cf9, { 20, 20, 10, 10}},\r
1886 {m68k_op_trapge_16 , 0xffff, 0x5cfa, { 0, 0, 6, 6}},\r
1887 {m68k_op_trapge_32 , 0xffff, 0x5cfb, { 0, 0, 8, 8}},\r
1888 {m68k_op_trapge , 0xffff, 0x5cfc, { 0, 0, 4, 4}},\r
1889 {m68k_op_slt_8_pi7 , 0xffff, 0x5ddf, { 12, 12, 10, 10}},\r
1890 {m68k_op_slt_8_pd7 , 0xffff, 0x5de7, { 14, 14, 11, 11}},\r
1891 {m68k_op_slt_8_aw , 0xffff, 0x5df8, { 16, 16, 10, 10}},\r
1892 {m68k_op_slt_8_al , 0xffff, 0x5df9, { 20, 20, 10, 10}},\r
1893 {m68k_op_traplt_16 , 0xffff, 0x5dfa, { 0, 0, 6, 6}},\r
1894 {m68k_op_traplt_32 , 0xffff, 0x5dfb, { 0, 0, 8, 8}},\r
1895 {m68k_op_traplt , 0xffff, 0x5dfc, { 0, 0, 4, 4}},\r
1896 {m68k_op_sgt_8_pi7 , 0xffff, 0x5edf, { 12, 12, 10, 10}},\r
1897 {m68k_op_sgt_8_pd7 , 0xffff, 0x5ee7, { 14, 14, 11, 11}},\r
1898 {m68k_op_sgt_8_aw , 0xffff, 0x5ef8, { 16, 16, 10, 10}},\r
1899 {m68k_op_sgt_8_al , 0xffff, 0x5ef9, { 20, 20, 10, 10}},\r
1900 {m68k_op_trapgt_16 , 0xffff, 0x5efa, { 0, 0, 6, 6}},\r
1901 {m68k_op_trapgt_32 , 0xffff, 0x5efb, { 0, 0, 8, 8}},\r
1902 {m68k_op_trapgt , 0xffff, 0x5efc, { 0, 0, 4, 4}},\r
1903 {m68k_op_sle_8_pi7 , 0xffff, 0x5fdf, { 12, 12, 10, 10}},\r
1904 {m68k_op_sle_8_pd7 , 0xffff, 0x5fe7, { 14, 14, 11, 11}},\r
1905 {m68k_op_sle_8_aw , 0xffff, 0x5ff8, { 16, 16, 10, 10}},\r
1906 {m68k_op_sle_8_al , 0xffff, 0x5ff9, { 20, 20, 10, 10}},\r
1907 {m68k_op_traple_16 , 0xffff, 0x5ffa, { 0, 0, 6, 6}},\r
1908 {m68k_op_traple_32 , 0xffff, 0x5ffb, { 0, 0, 8, 8}},\r
1909 {m68k_op_traple , 0xffff, 0x5ffc, { 0, 0, 4, 4}},\r
1910 {m68k_op_bra_16 , 0xffff, 0x6000, { 10, 10, 10, 10}},\r
1911 {m68k_op_bra_32 , 0xffff, 0x60ff, { 10, 10, 10, 10}},\r
1912 {m68k_op_bsr_16 , 0xffff, 0x6100, { 18, 18, 7, 7}},\r
1913 {m68k_op_bsr_32 , 0xffff, 0x61ff, { 18, 18, 7, 7}},\r
1914 {m68k_op_bhi_16 , 0xffff, 0x6200, { 10, 10, 6, 6}},\r
1915 {m68k_op_bhi_32 , 0xffff, 0x62ff, { 10, 10, 6, 6}},\r
1916 {m68k_op_bls_16 , 0xffff, 0x6300, { 10, 10, 6, 6}},\r
1917 {m68k_op_bls_32 , 0xffff, 0x63ff, { 10, 10, 6, 6}},\r
1918 {m68k_op_bcc_16 , 0xffff, 0x6400, { 10, 10, 6, 6}},\r
1919 {m68k_op_bcc_32 , 0xffff, 0x64ff, { 10, 10, 6, 6}},\r
1920 {m68k_op_bcs_16 , 0xffff, 0x6500, { 10, 10, 6, 6}},\r
1921 {m68k_op_bcs_32 , 0xffff, 0x65ff, { 10, 10, 6, 6}},\r
1922 {m68k_op_bne_16 , 0xffff, 0x6600, { 10, 10, 6, 6}},\r
1923 {m68k_op_bne_32 , 0xffff, 0x66ff, { 10, 10, 6, 6}},\r
1924 {m68k_op_beq_16 , 0xffff, 0x6700, { 10, 10, 6, 6}},\r
1925 {m68k_op_beq_32 , 0xffff, 0x67ff, { 10, 10, 6, 6}},\r
1926 {m68k_op_bvc_16 , 0xffff, 0x6800, { 10, 10, 6, 6}},\r
1927 {m68k_op_bvc_32 , 0xffff, 0x68ff, { 10, 10, 6, 6}},\r
1928 {m68k_op_bvs_16 , 0xffff, 0x6900, { 10, 10, 6, 6}},\r
1929 {m68k_op_bvs_32 , 0xffff, 0x69ff, { 10, 10, 6, 6}},\r
1930 {m68k_op_bpl_16 , 0xffff, 0x6a00, { 10, 10, 6, 6}},\r
1931 {m68k_op_bpl_32 , 0xffff, 0x6aff, { 10, 10, 6, 6}},\r
1932 {m68k_op_bmi_16 , 0xffff, 0x6b00, { 10, 10, 6, 6}},\r
1933 {m68k_op_bmi_32 , 0xffff, 0x6bff, { 10, 10, 6, 6}},\r
1934 {m68k_op_bge_16 , 0xffff, 0x6c00, { 10, 10, 6, 6}},\r
1935 {m68k_op_bge_32 , 0xffff, 0x6cff, { 10, 10, 6, 6}},\r
1936 {m68k_op_blt_16 , 0xffff, 0x6d00, { 10, 10, 6, 6}},\r
1937 {m68k_op_blt_32 , 0xffff, 0x6dff, { 10, 10, 6, 6}},\r
1938 {m68k_op_bgt_16 , 0xffff, 0x6e00, { 10, 10, 6, 6}},\r
1939 {m68k_op_bgt_32 , 0xffff, 0x6eff, { 10, 10, 6, 6}},\r
1940 {m68k_op_ble_16 , 0xffff, 0x6f00, { 10, 10, 6, 6}},\r
1941 {m68k_op_ble_32 , 0xffff, 0x6fff, { 10, 10, 6, 6}},\r
1942 {m68k_op_sbcd_8_mm_axy7 , 0xffff, 0x8f0f, { 18, 18, 16, 16}},\r
1943 {m68k_op_pack_16_mm_axy7 , 0xffff, 0x8f4f, { 0, 0, 13, 13}},\r
1944 {m68k_op_unpk_16_mm_axy7 , 0xffff, 0x8f8f, { 0, 0, 13, 13}},\r
1945 {m68k_op_subx_8_mm_axy7 , 0xffff, 0x9f0f, { 18, 18, 12, 12}},\r
1946 {m68k_op_cmpm_8_axy7 , 0xffff, 0xbf0f, { 12, 12, 9, 9}},\r
1947 {m68k_op_abcd_8_mm_axy7 , 0xffff, 0xcf0f, { 18, 18, 16, 16}},\r
1948 {m68k_op_addx_8_mm_axy7 , 0xffff, 0xdf0f, { 18, 18, 12, 12}},\r
1949 {m68k_op_asr_16_aw , 0xffff, 0xe0f8, { 16, 16, 9, 9}},\r
1950 {m68k_op_asr_16_al , 0xffff, 0xe0f9, { 20, 20, 9, 9}},\r
1951 {m68k_op_asl_16_aw , 0xffff, 0xe1f8, { 16, 16, 10, 10}},\r
1952 {m68k_op_asl_16_al , 0xffff, 0xe1f9, { 20, 20, 10, 10}},\r
1953 {m68k_op_lsr_16_aw , 0xffff, 0xe2f8, { 16, 16, 9, 9}},\r
1954 {m68k_op_lsr_16_al , 0xffff, 0xe2f9, { 20, 20, 9, 9}},\r
1955 {m68k_op_lsl_16_aw , 0xffff, 0xe3f8, { 16, 16, 9, 9}},\r
1956 {m68k_op_lsl_16_al , 0xffff, 0xe3f9, { 20, 20, 9, 9}},\r
1957 {m68k_op_roxr_16_aw , 0xffff, 0xe4f8, { 16, 16, 9, 9}},\r
1958 {m68k_op_roxr_16_al , 0xffff, 0xe4f9, { 20, 20, 9, 9}},\r
1959 {m68k_op_roxl_16_aw , 0xffff, 0xe5f8, { 16, 16, 9, 9}},\r
1960 {m68k_op_roxl_16_al , 0xffff, 0xe5f9, { 20, 20, 9, 9}},\r
1961 {m68k_op_ror_16_aw , 0xffff, 0xe6f8, { 16, 16, 11, 11}},\r
1962 {m68k_op_ror_16_al , 0xffff, 0xe6f9, { 20, 20, 11, 11}},\r
1963 {m68k_op_rol_16_aw , 0xffff, 0xe7f8, { 16, 16, 11, 11}},\r
1964 {m68k_op_rol_16_al , 0xffff, 0xe7f9, { 20, 20, 11, 11}},\r
1965 {m68k_op_bftst_32_aw , 0xffff, 0xe8f8, { 0, 0, 17, 17}},\r
1966 {m68k_op_bftst_32_al , 0xffff, 0xe8f9, { 0, 0, 17, 17}},\r
1967 {m68k_op_bftst_32_pcdi , 0xffff, 0xe8fa, { 0, 0, 18, 18}},\r
1968 {m68k_op_bftst_32_pcix , 0xffff, 0xe8fb, { 0, 0, 20, 20}},\r
1969 {m68k_op_bfextu_32_aw , 0xffff, 0xe9f8, { 0, 0, 19, 19}},\r
1970 {m68k_op_bfextu_32_al , 0xffff, 0xe9f9, { 0, 0, 19, 19}},\r
1971 {m68k_op_bfextu_32_pcdi , 0xffff, 0xe9fa, { 0, 0, 20, 20}},\r
1972 {m68k_op_bfextu_32_pcix , 0xffff, 0xe9fb, { 0, 0, 22, 22}},\r
1973 {m68k_op_bfchg_32_aw , 0xffff, 0xeaf8, { 0, 0, 24, 24}},\r
1974 {m68k_op_bfchg_32_al , 0xffff, 0xeaf9, { 0, 0, 24, 24}},\r
1975 {m68k_op_bfexts_32_aw , 0xffff, 0xebf8, { 0, 0, 19, 19}},\r
1976 {m68k_op_bfexts_32_al , 0xffff, 0xebf9, { 0, 0, 19, 19}},\r
1977 {m68k_op_bfexts_32_pcdi , 0xffff, 0xebfa, { 0, 0, 20, 20}},\r
1978 {m68k_op_bfexts_32_pcix , 0xffff, 0xebfb, { 0, 0, 22, 22}},\r
1979 {m68k_op_bfclr_32_aw , 0xffff, 0xecf8, { 0, 0, 24, 24}},\r
1980 {m68k_op_bfclr_32_al , 0xffff, 0xecf9, { 0, 0, 24, 24}},\r
1981 {m68k_op_bfffo_32_aw , 0xffff, 0xedf8, { 0, 0, 32, 32}},\r
1982 {m68k_op_bfffo_32_al , 0xffff, 0xedf9, { 0, 0, 32, 32}},\r
1983 {m68k_op_bfffo_32_pcdi , 0xffff, 0xedfa, { 0, 0, 33, 33}},\r
1984 {m68k_op_bfffo_32_pcix , 0xffff, 0xedfb, { 0, 0, 35, 35}},\r
1985 {m68k_op_bfset_32_aw , 0xffff, 0xeef8, { 0, 0, 24, 24}},\r
1986 {m68k_op_bfset_32_al , 0xffff, 0xeef9, { 0, 0, 24, 24}},\r
1987 {m68k_op_bfins_32_aw , 0xffff, 0xeff8, { 0, 0, 21, 21}},\r
1988 {m68k_op_bfins_32_al , 0xffff, 0xeff9, { 0, 0, 21, 21}},\r
1989 {m68k_op_pflush_32 , 0xffff, 0xf518, { 0, 0, 0, 4}},\r
1990 {0, 0, 0, {0, 0, 0, 0}}\r
1991};\r
1992\r
1993\r
1994/* Build the opcode handler jump table */\r
1995void m68ki_build_opcode_table(void)\r
1996{\r
1997 opcode_handler_struct *ostruct;\r
1998 int instr;\r
1999 int i;\r
2000 int j;\r
2001 int k;\r
2002\r
2003 for(i = 0; i < 0x10000; i++)\r
2004 {\r
2005 /* default to illegal */\r
2006 m68ki_instruction_jump_table[i] = m68k_op_illegal;\r
2007 for(k=0;k<NUM_CPU_TYPES;k++)\r
2008 m68ki_cycles[k][i] = 0;\r
2009 }\r
2010\r
2011 ostruct = m68k_opcode_handler_table;\r
2012 while(ostruct->mask != 0xff00)\r
2013 {\r
2014 for(i = 0;i < 0x10000;i++)\r
2015 {\r
2016 if((i & ostruct->mask) == ostruct->match)\r
2017 {\r
2018 m68ki_instruction_jump_table[i] = ostruct->opcode_handler;\r
2019 for(k=0;k<NUM_CPU_TYPES;k++)\r
2020 m68ki_cycles[k][i] = ostruct->cycles[k];\r
2021 }\r
2022 }\r
2023 ostruct++;\r
2024 }\r
2025 while(ostruct->mask == 0xff00)\r
2026 {\r
2027 for(i = 0;i <= 0xff;i++)\r
2028 {\r
2029 m68ki_instruction_jump_table[ostruct->match | i] = ostruct->opcode_handler;\r
2030 for(k=0;k<NUM_CPU_TYPES;k++)\r
2031 m68ki_cycles[k][ostruct->match | i] = ostruct->cycles[k];\r
2032 }\r
2033 ostruct++;\r
2034 }\r
2035 while(ostruct->mask == 0xf1f8)\r
2036 {\r
2037 for(i = 0;i < 8;i++)\r
2038 {\r
2039 for(j = 0;j < 8;j++)\r
2040 {\r
2041 instr = ostruct->match | (i << 9) | j;\r
2042 m68ki_instruction_jump_table[instr] = ostruct->opcode_handler;\r
2043 for(k=0;k<NUM_CPU_TYPES;k++)\r
2044 m68ki_cycles[k][instr] = ostruct->cycles[k];\r
2045 }\r
2046 }\r
2047 ostruct++;\r
2048 }\r
2049 while(ostruct->mask == 0xfff0)\r
2050 {\r
2051 for(i = 0;i <= 0x0f;i++)\r
2052 {\r
2053 m68ki_instruction_jump_table[ostruct->match | i] = ostruct->opcode_handler;\r
2054 for(k=0;k<NUM_CPU_TYPES;k++)\r
2055 m68ki_cycles[k][ostruct->match | i] = ostruct->cycles[k];\r
2056 }\r
2057 ostruct++;\r
2058 }\r
2059 while(ostruct->mask == 0xf1ff)\r
2060 {\r
2061 for(i = 0;i <= 0x07;i++)\r
2062 {\r
2063 m68ki_instruction_jump_table[ostruct->match | (i << 9)] = ostruct->opcode_handler;\r
2064 for(k=0;k<NUM_CPU_TYPES;k++)\r
2065 m68ki_cycles[k][ostruct->match | (i << 9)] = ostruct->cycles[k];\r
2066 }\r
2067 ostruct++;\r
2068 }\r
2069 while(ostruct->mask == 0xfff8)\r
2070 {\r
2071 for(i = 0;i <= 0x07;i++)\r
2072 {\r
2073 m68ki_instruction_jump_table[ostruct->match | i] = ostruct->opcode_handler;\r
2074 for(k=0;k<NUM_CPU_TYPES;k++)\r
2075 m68ki_cycles[k][ostruct->match | i] = ostruct->cycles[k];\r
2076 }\r
2077 ostruct++;\r
2078 }\r
2079 while(ostruct->mask == 0xffff)\r
2080 {\r
2081 m68ki_instruction_jump_table[ostruct->match] = ostruct->opcode_handler;\r
2082 for(k=0;k<NUM_CPU_TYPES;k++)\r
2083 m68ki_cycles[k][ostruct->match] = ostruct->cycles[k];\r
2084 ostruct++;\r
2085 }\r
2086}\r
2087\r
2088\r
2089/* ======================================================================== */\r
2090/* ============================== END OF FILE ============================= */\r
2091/* ======================================================================== */\r
2092\r
2093\r