Core commit. Compile and run on the OpenPandora
[mupen64plus-pandora.git] / source / mupen64plus-core / src / r4300 / empty_dynarec.c
1 /* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
2  *   Mupen64plus - empty_dynarec.c                                         *
3  *   Mupen64Plus homepage: http://code.google.com/p/mupen64plus/           *
4  *   Copyright (C) 2002 Richard42, Nmn                                     *
5  *   Copyright (C) 2002 Hacktarux                                          *
6  *                                                                         *
7  *   This program is free software; you can redistribute it and/or modify  *
8  *   it under the terms of the GNU General Public License as published by  *
9  *   the Free Software Foundation; either version 2 of the License, or     *
10  *   (at your option) any later version.                                   *
11  *                                                                         *
12  *   This program is distributed in the hope that it will be useful,       *
13  *   but WITHOUT ANY WARRANTY; without even the implied warranty of        *
14  *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         *
15  *   GNU General Public License for more details.                          *
16  *                                                                         *
17  *   You should have received a copy of the GNU General Public License     *
18  *   along with this program; if not, write to the                         *
19  *   Free Software Foundation, Inc.,                                       *
20  *   51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.          *
21  * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
22
23 #include "recomp.h"
24
25 /* From assemble.c */
26
27 void init_assembler(void *block_jumps_table, int block_jumps_number, void *block_riprel_table, int block_riprel_number)
28 {
29 }
30
31 void free_assembler(void **block_jumps_table, int *block_jumps_number, void **block_riprel_table, int *block_riprel_number)
32 {
33 }
34
35 void passe2(precomp_instr *dest, int start, int end, precomp_block *block)
36 {
37 }
38
39 /* From gbc.c */
40
41 void genbc1f()
42 {
43 }
44
45 void genbc1f_out()
46 {
47 }
48
49 void genbc1f_idle()
50 {
51 }
52
53 void genbc1t()
54 {
55 }
56
57 void genbc1t_out()
58 {
59 }
60
61 void genbc1t_idle()
62 {
63 }
64
65 void genbc1fl()
66 {
67 }
68
69 void genbc1fl_out()
70 {
71 }
72
73 void genbc1fl_idle()
74 {
75 }
76
77 void genbc1tl()
78 {
79 }
80
81 void genbc1tl_out()
82 {
83 }
84
85 void genbc1tl_idle()
86 {
87 }
88
89 /* From gcop0.c */
90
91 void genmfc0()
92 {
93 }
94
95 void genmtc0()
96 {
97 }
98
99 /* From gcop1.c */
100
101 void genmfc1()
102 {
103 }
104
105 void gendmfc1()
106 {
107 }
108
109 void gencfc1()
110 {
111 }
112
113 void genmtc1()
114 {
115 }
116
117 void gendmtc1()
118 {
119 }
120
121 void genctc1()
122 {
123 }
124
125 /* From gcop1_d.c */
126
127 void genadd_d()
128 {
129 }
130
131 void gensub_d()
132 {
133 }
134
135 void genmul_d()
136 {
137 }
138
139 void gendiv_d()
140 {
141 }
142
143 void gensqrt_d()
144 {
145 }
146
147 void genabs_d()
148 {
149 }
150
151 void genmov_d()
152 {
153 }
154
155 void genneg_d()
156 {
157 }
158
159 void genround_l_d()
160 {
161 }
162
163 void gentrunc_l_d()
164 {
165 }
166
167 void genceil_l_d()
168 {
169 }
170
171 void genfloor_l_d()
172 {
173 }
174
175 void genround_w_d()
176 {
177 }
178
179 void gentrunc_w_d()
180 {
181 }
182
183 void genceil_w_d()
184 {
185 }
186
187 void genfloor_w_d()
188 {
189 }
190
191 void gencvt_s_d()
192 {
193 }
194
195 void gencvt_w_d()
196 {
197 }
198
199 void gencvt_l_d()
200 {
201 }
202
203 void genc_f_d()
204 {
205 }
206
207 void genc_un_d()
208 {
209 }
210
211 void genc_eq_d()
212 {
213 }
214
215 void genc_ueq_d()
216 {
217 }
218
219 void genc_olt_d()
220 {
221 }
222
223 void genc_ult_d()
224 {
225 }
226
227 void genc_ole_d()
228 {
229 }
230
231 void genc_ule_d()
232 {
233 }
234
235 void genc_sf_d()
236 {
237 }
238
239 void genc_ngle_d()
240 {
241 }
242
243 void genc_seq_d()
244 {
245 }
246
247 void genc_ngl_d()
248 {
249 }
250
251 void genc_lt_d()
252 {
253 }
254
255 void genc_nge_d()
256 {
257 }
258
259 void genc_le_d()
260 {
261 }
262
263 void genc_ngt_d()
264 {
265 }
266
267 /* From gcop1_l.c */
268
269 void gencvt_s_l()
270 {
271 }
272
273 void gencvt_d_l()
274 {
275 }
276
277 /* From gcop1_s.c */
278
279 void genadd_s()
280 {
281 }
282
283 void gensub_s()
284 {
285 }
286
287 void genmul_s()
288 {
289 }
290
291 void gendiv_s()
292 {
293 }
294
295 void gensqrt_s()
296 {
297 }
298
299 void genabs_s()
300 {
301 }
302
303 void genmov_s()
304 {
305 }
306
307 void genneg_s()
308 {
309 }
310
311 void genround_l_s()
312 {
313 }
314
315 void gentrunc_l_s()
316 {
317 }
318
319 void genceil_l_s()
320 {
321 }
322
323 void genfloor_l_s()
324 {
325 }
326
327 void genround_w_s()
328 {
329 }
330
331 void gentrunc_w_s()
332 {
333 }
334
335 void genceil_w_s()
336 {
337 }
338
339 void genfloor_w_s()
340 {
341 }
342
343 void gencvt_d_s()
344 {
345 }
346
347 void gencvt_w_s()
348 {
349 }
350
351 void gencvt_l_s()
352 {
353 }
354
355 void genc_f_s()
356 {
357 }
358
359 void genc_un_s()
360 {
361 }
362
363 void genc_eq_s()
364 {
365 }
366
367 void genc_ueq_s()
368 {
369 }
370
371 void genc_olt_s()
372 {
373 }
374
375 void genc_ult_s()
376 {
377 }
378
379 void genc_ole_s()
380 {
381 }
382
383 void genc_ule_s()
384 {
385 }
386
387 void genc_sf_s()
388 {
389 }
390
391 void genc_ngle_s()
392 {
393 }
394
395 void genc_seq_s()
396 {
397 }
398
399 void genc_ngl_s()
400 {
401 }
402
403 void genc_lt_s()
404 {
405 }
406
407 void genc_nge_s()
408 {
409 }
410
411 void genc_le_s()
412 {
413 }
414
415 void genc_ngt_s()
416 {
417 }
418
419 /* From gcop1_w.c */
420
421 void gencvt_s_w()
422 {
423 }
424
425 void gencvt_d_w()
426 {
427 }
428
429 /* From gr4300.c */
430
431 void gennotcompiled()
432 {
433 }
434
435 void genlink_subblock()
436 {
437 }
438
439 #ifdef COMPARE_CORE
440 void gendebug()
441 {
442 }
443 #endif
444
445 void genni()
446 {
447 }
448
449 void genreserved()
450 {
451 }
452
453 void genfin_block()
454 {
455 }
456
457 void gennop()
458 {
459 }
460
461 void genj()
462 {
463 }
464
465 void genj_out()
466 {
467 }
468
469 void genj_idle()
470 {
471 }
472
473 void genjal()
474 {
475 }
476
477 void genjal_out()
478 {
479 }
480
481 void genjal_idle()
482 {
483 }
484
485 void genbne()
486 {
487 }
488
489 void genbne_out()
490 {
491 }
492
493 void genbne_idle()
494 {
495 }
496
497 void genblez()
498 {
499 }
500
501 void genblez_idle()
502 {
503 }
504
505 void genbgtz()
506 {
507 }
508
509 void genbgtz_out()
510 {
511 }
512
513 void genbgtz_idle()
514 {
515 }
516
517 void genaddi()
518 {
519 }
520
521 void genaddiu()
522 {
523 }
524
525 void genslti()
526 {
527 }
528
529 void gensltiu()
530 {
531 }
532
533 void genandi()
534 {
535 }
536
537 void genori()
538 {
539 }
540
541 void genxori()
542 {
543 }
544
545 void genlui()
546 {
547 }
548
549 void genbeql()
550 {
551 }
552
553 void genbeql_out()
554 {
555 }
556
557 void genbeql_idle()
558 {
559 }
560
561 void genbeq()
562 {
563 }
564
565 void genbeq_out()
566 {
567 }
568
569 void genbeq_idle()
570 {
571 }
572
573 void genbnel()
574 {
575 }
576
577 void genbnel_out()
578 {
579 }
580
581 void genbnel_idle()
582 {
583 }
584
585 void genblezl()
586 {
587 }
588
589 void genblezl_out()
590 {
591 }
592
593 void genblezl_idle()
594 {
595 }
596
597 void genbgtzl()
598 {
599 }
600
601 void genbgtzl_out()
602 {
603 }
604
605 void genbgtzl_idle()
606 {
607 }
608
609 void gendaddi()
610 {
611 }
612
613 void gendaddiu()
614 {
615 }
616
617 void genldl()
618 {
619 }
620
621 void genldr()
622 {
623 }
624
625 void genlb()
626 {
627 }
628
629 void genlh()
630 {
631 }
632
633 void genlwl()
634 {
635 }
636
637 void genlw()
638 {
639 }
640
641 void genlbu()
642 {
643 }
644
645 void genlhu()
646 {
647 }
648
649 void genlwr()
650 {
651 }
652
653 void genlwu()
654 {
655 }
656
657 void gensb()
658 {
659 }
660
661 void gensh()
662 {
663 }
664
665 void genswl()
666 {
667 }
668
669 void gensw()
670 {
671 }
672
673 void gensdl()
674 {
675 }
676
677 void gensdr()
678 {
679 }
680
681 void genswr()
682 {
683 }
684
685 void genlwc1()
686 {
687 }
688
689 void genldc1()
690 {
691 }
692
693 void gencache()
694 {
695 }
696
697 void genld()
698 {
699 }
700
701 void genswc1()
702 {
703 }
704
705 void gensdc1()
706 {
707 }
708
709 void gensd()
710 {
711 }
712
713 void genll()
714 {
715 }
716
717 void gensc()
718 {
719 }
720
721 void genblez_out()
722 {
723 }
724
725 /* From gregimm.c */
726
727 void genbltz()
728 {
729 }
730
731 void genbltz_out()
732 {
733 }
734
735 void genbltz_idle()
736 {
737 }
738
739 void genbgez()
740 {
741 }
742
743 void genbgez_out()
744 {
745 }
746
747 void genbgez_idle()
748 {
749 }
750
751 void genbltzl()
752 {
753 }
754
755 void genbltzl_out()
756 {
757 }
758
759 void genbltzl_idle()
760 {
761 }
762
763 void genbgezl()
764 {
765 }
766
767 void genbgezl_out()
768 {
769 }
770
771 void genbgezl_idle()
772 {
773 }
774
775 void genbltzal()
776 {
777 }
778
779 void genbltzal_out()
780 {
781 }
782
783 void genbltzal_idle()
784 {
785 }
786
787 void genbgezal()
788 {
789 }
790
791 void genbgezal_out()
792 {
793 }
794
795 void genbgezal_idle()
796 {
797 }
798
799 void genbltzall()
800 {
801 }
802
803 void genbltzall_out()
804 {
805 }
806
807 void genbltzall_idle()
808 {
809 }
810
811 void genbgezall()
812 {
813 }
814
815 void genbgezall_out()
816 {
817 }
818
819 void genbgezall_idle()
820 {
821 }
822
823 /* From gspecial.c */
824
825 void gensll()
826 {
827 }
828
829 void gensrl()
830 {
831 }
832
833 void gensra()
834 {
835 }
836
837 void gensllv()
838 {
839 }
840
841 void gensrlv()
842 {
843 }
844
845 void gensrav()
846 {
847 }
848
849 void genjr()
850 {
851 }
852
853 void genjalr()
854 {
855 }
856
857 void gensyscall()
858 {
859 }
860
861 void gensync()
862 {
863 }
864
865 void genmfhi()
866 {
867 }
868
869 void genmthi()
870 {
871 }
872
873 void genmflo()
874 {
875 }
876
877 void genmtlo()
878 {
879 }
880
881 void gendsllv()
882 {
883 }
884
885 void gendsrlv()
886 {
887 }
888
889 void gendsrav()
890 {
891 }
892
893 void genmult()
894 {
895 }
896
897 void genmultu()
898 {
899 }
900
901 void gendiv()
902 {
903 }
904
905 void gendivu()
906 {
907 }
908
909 void gendmult()
910 {
911 }
912
913 void gendmultu()
914 {
915 }
916
917 void genddiv()
918 {
919 }
920
921 void genddivu()
922 {
923 }
924
925 void genadd()
926 {
927 }
928
929 void genaddu()
930 {
931 }
932
933 void gensub()
934 {
935 }
936
937 void gensubu()
938 {
939 }
940
941 void genand()
942 {
943 }
944
945 void genor()
946 {
947 }
948
949 void genxor()
950 {
951 }
952
953 void gennor()
954 {
955 }
956
957 void genslt()
958 {
959 }
960
961 void gensltu()
962 {
963 }
964
965 void gendadd()
966 {
967 }
968
969 void gendaddu()
970 {
971 }
972
973 void gendsub()
974 {
975 }
976
977 void gendsubu()
978 {
979 }
980
981 void genteq()
982 {
983 }
984
985 void gendsll()
986 {
987 }
988
989 void gendsrl()
990 {
991 }
992
993 void gendsra()
994 {
995 }
996
997 void gendsll32()
998 {
999 }
1000
1001 void gendsrl32()
1002 {
1003 }
1004
1005 void gendsra32()
1006 {
1007 }
1008
1009 /* From gtlb.c */
1010
1011 void gentlbwi()
1012 {
1013 }
1014
1015 void gentlbp()
1016 {
1017 }
1018
1019 void gentlbr()
1020 {
1021 }
1022
1023 void generet()
1024 {
1025 }
1026
1027 void gentlbwr()
1028 {
1029 }
1030
1031 /* From regcache.c */
1032
1033 void init_cache(precomp_instr* start)
1034 {
1035 }
1036
1037 void free_all_registers()
1038 {
1039 }
1040
1041 /* From rjump.c */
1042
1043 void dyna_jump()
1044 {
1045 }
1046
1047 void dyna_stop()
1048 {
1049 }
1050